| 다운로드 | 방명록 | 디렉토리
digtak다운로드하드웨어/embeddedVHDL
검색:
DE2_demonstratiooons
  • 분류:하드웨어/embedded - VHDL
  • 도구 개발:VHDL
  • 크기:42.04 MB
  • 업로드 시간:2009/4/27 3:48:02
  • 업 로더:admin_ittc2
  • 다운로드 통계:
설명
개발 DE2 보드 정보, 주로 자신의 예를 들어, 완전한 소스 코드와 같은 테스트를 거쳤습니다 VGA로의 USB, LCD와 같은 인터페이스 프로그램의 다양한 포함되어있습니다.


picture.bmp

File list:
DE2_demonstrations
.................\DE2_Default
.................\...........\VGA_Controller
.................\...........\..............\Img_DATA.hex
.................\...........\..............\Img_RAM.v
.................\...........\..............\VGA_Controller.v
.................\...........\..............\VGA_OSD_RAM.v
.................\...........\..............\VGA_Param.h
.................\...........\AUDIO_DAC.v
.................\...........\DE2_Default.pof
.................\...........\DE2_Default.qpf
.................\...........\DE2_Default.qsf
.................\...........\DE2_Default.sof
.................\...........\DE2_Default.v
.................\...........\DE2_Default_assignment_defaults.qdf
.................\...........\I2C_AV_Config.v
.................\...........\I2C_Controller.v
.................\...........\Img_DATA.hex
.................\...........\LCD_Controller.v
.................\...........\LCD_TEST.v
.................\...........\README.txt
.................\...........\Reset_Delay.v
.................\...........\SEG7_LUT.v
.................\...........\SEG7_LUT_8.v
.................\...........\VGA_Audio_PLL.v
.................\DE2_i2sound
.................\...........\CLOCK_500.bsf
.................\...........\CLOCK_500.v
.................\...........\DE2_i2sound.bdf
.................\...........\DE2_i2sound.pof
.................\...........\DE2_i2sound.qpf
.................\...........\DE2_i2sound.qsf
.................\...........\DE2_i2sound.sof
.................\...........\DE2_i2sound_assignment_defaults.qdf
.................\...........\i2c.bsf
.................\...........\i2c.v
.................\...........\keytr.bsf
.................\...........\keytr.v
.................\...........\README.txt
.................\DE2_NET
.................\.......\.metadata
.................\.......\.........\.plugins
.................\.......\.........\........\org.eclipse.core.resources
.................\.......\.........\........\..........................\.projects
.................\.......\.........\........\..........................\.........\hello_led_0
.................\.......\.........\........\..........................\.........\...........\.properties
.................\.......\.........\........\..........................\.........\hello_led_0_syslib
.................\.......\.........\........\..........................\.........\..................\.properties
.................\.......\.........\........\..........................\.root
.................\.......\.........\........\..........................\.....\9.tree
.................\.......\.........\........\..........................\.safetable
.................\.......\.........\........\..........................\..........\org.eclipse.core.resources
.................\.......\.........\........\org.eclipse.core.runtime
.................\.......\.........\........\........................\.settings
.................\.......\.........\........\........................\.........\org.eclipse.cdt.core.prefs
.................\.......\.........\........\........................\.........\org.eclipse.cdt.debug.core.prefs
.................\.......\.........\........\........................\.........\org.eclipse.cdt.ui.prefs
.................\.......\.........\........\........................\.........\org.eclipse.team.cvs.ui.prefs
.................\.......\.........\........\........................\.........\org.eclipse.team.ui.prefs
.................\.......\.........\........\........................\.........\org.eclipse.ui.prefs
.................\.......\.........\........\........................\.........\org.eclipse.ui.workbench.prefs
.................\.......\.........\........\org.eclipse.ui.workbench
.................\.......\.........\........\........................\dialog_settings.xml
.................\.......\.........\........\........................\workbench.xml
.................\.......\.........\.lock
.................\.......\.........\version.ini
.................\.......\.sopc_builder
.................\.......\.............\install.ptf
.................\.......\Audio_DAC_FIFO
.................\.......\..............\hdl
.................\.......\..............\...\AUDIO_DAC_FIFO.v
.................\.......\..............\...\FIFO_16_256.v
.................\.......\..............\cb_generator.pl
.................\.......\..............\class.ptf
.................\.......\Binary_VGA_Controller
.................\.......\.....................\hdl
.................\.......\.....................\...\Img_DATA.hex
.................\.......\.....................\...\Img_RAM.v
.................\.......\.....................\...\VGA_Controller.v
.................\.......\.....................\...\VGA_NIOS_CTRL.v
.................\.......\.....................\...\VGA_OSD_RAM.v
.................\.......\.....................\...\VGA_Param.h
.................\.......\.....................\inc
.................\.......\.....................\...\VGA.c
.................\.......\.....................\...\VGA.h
.................\.......\.....................\cb_generator.pl
.................\.......\.....................\class.ptf
.................\.......\DE2_Board
.................\.......\.........\system
.................\.......\.........\......\.sopc_builder
.................\.......\.........\......\.............\install.ptf
.................\.......\.........\......\DE2_Board_sim
.................\.......\.........\......\.............\atail-f.pl
.................\.......\.........\......\.............\contents_file_warning.txt
.................\.......\.........\......\.............\jtag_uart_0_input_mutex.dat
.................\.......\.........\......\.............\jtag_uart_0_input_stream.dat
.................\.......\.........\......\.............\jtag_uart_0_output_stream.dat
.................\.......\.........\......\asmi.v
.................\.......\.........\......\cmp_state.ini
.................\.......\.........\......\cpu_0.ocp
.................\.......\.........\......\cpu_0.v
.................\.......\.........\......\cpu_0_test_bench.v
.................\.......\.........\......\data_RAM.hex
.................\.......\.........\......\data_RAM.v
.................\.......\.........\......\DE2_Board.asm.rpt
.................\.......\.........\......\DE2_Board.bsf
.................\.......\.........\......\DE2_Board.cdf
.................\.......\.........\......\DE2_Board.done
.................\.......\.........\......\DE2_Board.fit.eqn
.................\.......\.........\......\DE2_Board.fit.rpt
.................\.......\.........\......\DE2_Board.fit.summary
.................\.......\.........\......\DE2_Board.flow.rpt
.................\.......\.........\......\DE2_Board.map.eqn
.................\.......\.........\......\DE2_Board.map.rpt
.................\.......\.........\......\DE2_Board.map.summary
.................\.......\.........\......\DE2_Board.pin
.................\.......\.........\......\DE2_Board.pof
.................\.......\.........\......\DE2_Board.ptf
.................\.......\.........\......\DE2_Board.ptf.5.00
.................\.......\.........\......\DE2_Board.ptf.bak
.................\.......\.........\......\DE2_Board.qpf
.................\.......\.........\......\DE2_Board.qsf
.................\.......\.........\......\DE2_Board.qws
.................\.......\.........\......\DE2_Board.sof
.................\.......\.........\......\DE2_Board.tan.rpt
.................\.......\.........\......\DE2_Board.tan.summary
.................\.......\.........\......\DE2_Board.v
.................\.......\.........\......\DE2_Board_assignment_defaults.qdf
.................\.......\.........\......\DE2_Board_generation_script
.................\.......\.........\......\DE2_Board_log.txt
.................\.......\.........\......\DE2_Board_setup_quartus.tcl
.................\.......\.........\......\DE2_Board_top.bdf
.................\.......\.........\......\delay_reset_block.bdf
.................\.......\.........\......\firmware_ROM.hex
.................\.......\.........\......\firmware_ROM.v
.................\.......\.........\......\jtag_uart_0.v
.................\.......\.........\......\payload_buffer.hex
.................\.......\.........\......\payload_buffer.v
.................\.......\.........\......\reset_counter.v
.................\.......\.........\......\rf_ram.mif
.................\.......\.........\......\sopc_builder_debug_log.txt
.................\.......\.........\......\sysid.v
.................\.......\.........\class.ptf
.................\.......\DM9000A
.................\.......\.......\hdl
.................\.......\.......\...\DM9000A_IF.v
.................\.......\.......\inc
.................\.......\.......\...\basic_io.h
.................\.......\.......\...\DM9000A.C
.................\.......\.......\...\DM9000A.H
.................\.......\.......\cb_generator.pl
.................\.......\.......\class.ptf
.................\.......\hello_led_0
.................\.......\...........\.cdtbuild
.................\.......\...........\.cdtproject
.................\.......\...........\.project
.................\.......\...........\application.stf
.................\.......\...........\basic_io.h
.................\.......\...........\hello_led.c
.................\.......\...........\LCD.c
.................\.......\...........\LCD.h
.................\.......\...........\readme.txt
.................\.......\...........\Test.c
.................\.......\...........\Test.h
.................\.......\hello_led_0_syslib
.................\.......\..................\.cdtbuild
.................\.......\..................\.cdtproject
.................\.......\..................\.project
.................\.......\..................\readme.txt
.................\.......\..................\system.stf
.................\.......\ISP1362
.................\.......\.......\hdl
.................\.......\.......\...\ISP1362_IF.v
.................\.......\.......\inc
.................\.......\.......\...\BASICTYP.H
.................\.......\.......\...\COMMON.H
.................\.......\.......\...\HAL4D13.C
.................\.......\.......\...\HAL4D13.H
.................\.......\.......\...\usb_irq.c
.................\.......\.......\...\usb_irq.h
.................\.......\.......\cb_generator.pl
.................\.......\.......\class.ptf
.................\.......\SEG7_LUT_8
.................\.......\..........\hdl
.................\.......\..........\...\SEG7_LUT.v
.................\.......\..........\...\SEG7_LUT_8.v
.................\.......\..........\inc
.................\.......\..........\...\basic_io.h
.................\.......\..........\cb_generator.pl
.................\.......\..........\class.ptf
.................\.......\SRAM_16Bit_512K
.................\.......\...............\hdl
.................\.......\...............\...\SRAM_16Bit_512K.v
.................\.......\...............\cb_generator.pl
.................\.......\...............\class.ptf
.................\.......\system_0_sim
.................\.......\............\atail-f.pl
.................\.......\............\dummy_file
.................\.......\............\jtag_uart_0_input_mutex.dat
.................\.......\............\jtag_uart_0_input_stream.dat
.................\.......\............\jtag_uart_0_output_stream.dat
.................\.......\............\uart_0_input_data_mutex.dat
.................\.......\............\uart_0_input_data_stream.dat
.................\.......\............\uart_0_log_module.txt
.................\.......\altpllpll_0.ppf
.................\.......\Audio_0.v
.................\.......\AUDIO_DAC_FIFO.v
.................\.......\Audio_PLL.ppf
.................\.......\Audio_PLL.v
.................\.......\bht_ram.mif
.................\.......\button_pio.v
.................\.......\clock_0.v
.................\.......\clock_1.v
.................\.......\cpu_0.ocp
.................\.......\cpu_0.v
.................\.......\cpu_0.vo
.................\.......\cpu_0_bht_ram.mif
.................\.......\cpu_0_dc_tag_ram.mif
.................\.......\cpu_0_ic_tag_ram.mif
.................\.......\cpu_0_jtag_debug_module.v
.................\.......\cpu_0_jtag_debug_module_wrapper.v
.................\.......\cpu_0_mult_cell.v
.................\.......\cpu_0_ociram_default_contents.mif
.................\.......\cpu_0_rf_ram_a.mif
.................\.......\cpu_0_rf_ram_b.mif
.................\.......\cpu_0_test_bench.v
.................\.......\dc_tag_ram.mif
.................\.......\DE2_NET.dpf
.................\.......\DE2_NET.pof
.................\.......\DE2_NET.qpf
.................\.......\DE2_NET.qsf
.................\.......\DE2_NET.sof
.................\.......\DE2_NET.v
.................\.......\DM9000A.v
.................\.......\DM9000A_IF.v
.................\.......\epcs_controller.v
.................\.......\epcs_controller_boot_rom.hex
.................\.......\FIFO_16_256.v
.................\.......\I2C_AV_Config.v
.................\.......\I2C_Controller.v
.................\.......\ic_tag_ram.mif
.................\.......\Img_DATA.hex
.................\.......\Img_RAM.v
.................\.......\ISP1362.v
.................\.......\ISP1362_IF.v
.................\.......\jtag_uart_0.v
.................\.......\lcd_16207_0.v
.................\.......\led_green.v
.................\.......\led_red.v
.................\.......\README.txt
.................\.......\Reset_Delay.v
.................\.......\rf_ram_a.mif
.................\.......\rf_ram_b.mif
.................\.......\sdram_0.v
.................\.......\sdram_0_test_component.v
.................\.......\SDRAM_PLL.ppf
.................\.......\SDRAM_PLL.v
.................\.......\SD_CLK.v
.................\.......\SD_CMD.v
.................\.......\SD_DAT.v
.................\.......\SEG7_Display.v
.................\.......\SEG7_LUT.v
.................\.......\SEG7_LUT_8.v
.................\.......\sram_0.v
.................\.......\SRAM_16Bit_512K.v
.................\.......\switch_pio.v
.................\.......\system_0.bsf
.................\.......\system_0.ptf
.................\.......\system_0.v
.................\.......\system_0_generation_script
.................\.......\system_0_setup_quartus.tcl
.................\.......\timer_0.v
.................\.......\timer_1.v
.................\.......\uart_0.v
.................\.......\VGA_0.v
.................\.......\VGA_Controller.v
.................\.......\VGA_NIOS_CTRL.v
.................\.......\VGA_OSD_RAM.v
.................\.......\VGA_Param.h
.................\DE2_NIOS_DEVICE_LED
.................\...................\HW
.................\...................\..\.metadata
.................\...................\..\.........\.plugins
.................\...................\..\.........\........\org.eclipse.core.resources
.................\...................\..\.........\........\..........................\.projects
.................\...................\..\.........\........\..........................\.........\hello_led_0
.................\...................\..\.........\........\..........................\.........\...........\.properties
.................\...................\..\.........\........\..........................\.........\hello_led_0_syslib
.................\...................\..\.........\........\..........................\.........\..................\.properties
.................\...................\..\.........\........\..........................\.root
.................\...................\..\.........\........\..........................\.....\10.tree
.................\...................\..\.........\........\..........................\.safetable
.................\...................\..\.........\........\..........................\..........\org.eclipse.core.resources
.................\...................\..\.........\........\org.eclipse.core.runtime
.................\...................\..\.........\........\........................\.settings
.................\...................\..\.........\........\........................\.........\com.altera.ide.core.prefs
.................\...................\..\.........\........\........................\.........\org.eclipse.cdt.core.prefs
.................\...................\..\.........\........\........................\.........\org.eclipse.cdt.debug.core.prefs
.................\...................\..\.........\........\........................\.........\org.eclipse.cdt.ui.prefs
.................\...................\..\.........\........\........................\.........\org.eclipse.ui.prefs
.................\...................\..\.........\........\org.eclipse.ui.workbench
.................\...................\..\.........\........\........................\dialog_settings.xml
.................\...................\..\.........\........\........................\workbench.xml
.................\...................\..\.........\.lock
.................\...................\..\.........\version.ini
.................\...................\..\.sopc_builder
.................\...................\..\.............\install.ptf
.................\...................\..\Audio_DAC_FIFO
.................\...................\..\..............\hdl
.................\...................\..\..............\...\AUDIO_DAC_FIFO.v
.................\...................\..\..............\...\FIFO_16_256.v
.................\...................\..\..............\cb_generator.pl
.................\...................\..\..............\class.ptf
.................\...................\..\Binary_VGA_Controller
.................\...................\..\.....................\hdl
.................\...................\..\.....................\...\Img_DATA.hex
.................\...................\..\.....................\...\Img_RAM.v
.................\...................\..\.....................\...\VGA_Controller.v
.................\...................\..\.....................\...\VGA_NIOS_CTRL.v
.................\...................\..\.....................\...\VGA_OSD_RAM.v
.................\...................\..\.....................\...\VGA_Param.h
.................\...................\..\.....................\inc
.................\...................\..\.....................\...\VGA.c
.................\...................\..\.....................\...\VGA.h
.................\...................\..\.....................\cb_generator.pl
.................\...................\..\.....................\class.ptf
.................\...................\..\DE2_Board
.................\...................\..\.........\system
.................\...................\..\.........\......\.sopc_builder
.................\...................\..\.........\......\.............\install.ptf
.................\...................\..\.........\......\DE2_Board_sim
.................\...................\..\.........\......\.............\atail-f.pl
.................\...................\..\.........\......\.............\contents_file_warning.txt
.................\...................\..\.........\......\.............\jtag_uart_0_input_mutex.dat
.................\...................\..\.........\......\.............\jtag_uart_0_input_stream.dat
.................\...................\..\.........\......\.............\jtag_uart_0_output_stream.dat
.................\...................\..\.........\......\asmi.v
.................\...................\..\.........\......\cmp_state.ini
.................\...................\..\.........\......\cpu_0.ocp
.................\...................\..\.........\......\cpu_0.v
.................\...................\..\.........\......\cpu_0_test_bench.v
.................\...................\..\.........\......\data_RAM.hex
.................\...................\..\.........\......\data_RAM.v
.................\...................\..\.........\......\DE2_Board.asm.rpt
.................\...................\..\.........\......\DE2_Board.bsf
.................\...................\..\.........\......\DE2_Board.cdf
.................\...................\..\.........\......\DE2_Board.done
.................\...................\..\.........\......\DE2_Board.fit.eqn
.................\...................\..\.........\......\DE2_Board.fit.rpt
.................\...................\..\.........\......\DE2_Board.fit.summary
.................\...................\..\.........\......\DE2_Board.flow.rpt
.................\...................\..\.........\......\DE2_Board.map.eqn
.................\...................\..\.........\......\DE2_Board.map.rpt
.................\...................\..\.........\......\DE2_Board.map.summary
.................\...................\..\.........\......\DE2_Board.pin
.................\...................\..\.........\......\DE2_Board.pof
.................\...................\..\.........\......\DE2_Board.ptf
.................\...................\..\.........\......\DE2_Board.ptf.5.00
.................\...................\..\.........\......\DE2_Board.ptf.bak
.................\...................\..\.........\......\DE2_Board.qpf
.................\...................\..\.........\......\DE2_Board.qsf
.................\...................\..\.........\......\DE2_Board.qws
.................\...................\..\.........\......\DE2_Board.sof
.................\...................\..\.........\......\DE2_Board.tan.rpt
.................\...................\..\.........\......\DE2_Board.tan.summary
.................\...................\..\.........\......\DE2_Board.v
.................\...................\..\.........\......\DE2_Board_assignment_defaults.qdf
.................\...................\..\.........\......\DE2_Board_generation_script
.................\...................\..\.........\......\DE2_Board_log.txt
.................\...................\..\.........\......\DE2_Board_setup_quartus.tcl
.................\...................\..\.........\......\DE2_Board_top.bdf
.................\...................\..\.........\......\delay_reset_block.bdf
.................\...................\..\.........\......\firmware_ROM.hex
.................\...................\..\.........\......\firmware_ROM.v
.................\...................\..\.........\......\jtag_uart_0.v
.................\...................\..\.........\......\payload_buffer.hex
.................\...................\..\.........\......\payload_buffer.v
.................\...................\..\.........\......\reset_counter.v
.................\...................\..\.........\......\rf_ram.mif
.................\...................\..\.........\......\sopc_builder_debug_log.txt
.................\...................\..\.........\......\sysid.v
.................\...................\..\.........\class.ptf
.................\...................\..\DM9000A
.................\...................\..\.......\hdl
.................\...................\..\.......\...\DM9000A_IF.v
.................\...................\..\.......\inc
.................\...................\..\.......\...\basic_io.h
.................\...................\..\.......\...\DM9000A.C
.................\...................\..\.......\...\DM9000A.H
.................\...................\..\.......\cb_generator.pl
.................\...................\..\.......\class.ptf
.................\...................\..\hello_led_0
.................\...................\..\...........\.cdtbuild
.................\...................\..\...........\.cdtproject
.................\...................\..\...........\.project
.................\...................\..\...........\application.stf
.................\...................\..\...........\CHAP_9.C
.................\...................\..\...........\CHAP_9.H
.................\...................\..\...........\COMMON.H
.................\...................\..\...........\D13BUS.C
.................\...................\..\...........\D13BUS.H
.................\...................\..\...........\hello_led.c
.................\...................\..\...........\ISO.C
.................\...................\..\...........\ISO.H
.................\...................\..\...........\ISR.C
.................\...................\..\...........\ISR.H
.................\...................\..\...........\LCD.c
.................\...................\..\...........\LCD.h
.................\...................\..\...........\MAINLOOP.C
.................\...................\..\...........\MAINLOOP.H
.................\...................\..\...........\Usb.h
.................\...................\..\hello_led_0_syslib
.................\...................\..\..................\.cdtbuild
.................\...................\..\..................\.cdtproject
.................\...................\..\..................\.project
.................\...................\..\..................\readme.txt
.................\...................\..\..................\system.stf
.................\...................\..\ISP1362
.................\...................\..\.......\hdl
.................\...................\..\.......\...\ISP1362_IF.v
.................\...................\..\.......\inc
.................\...................\..\.......\...\BASICTYP.H
.................\...................\..\.......\...\COMMON.H
.................\...................\..\.......\...\HAL4D13.C
.................\...................\..\.......\...\HAL4D13.H
.................\...................\..\.......\...\usb_irq.c
.................\...................\..\.......\...\usb_irq.h
.................\...................\..\.......\cb_generator.pl
.................\...................\..\.......\class.ptf
.................\...................\..\SEG7_LUT_8
.................\...................\..\..........\hdl
.................\...................\..\..........\...\SEG7_LUT.v
.................\...................\..\..........\...\SEG7_LUT_8.v
.................\...................\..\..........\inc
.................\...................\..\..........\...\basic_io.h
.................\...................\..\..........\cb_generator.pl
.................\...................\..\..........\class.ptf
.................\...................\..\SRAM_16Bit_512K
.................\...................\..\...............\hdl
.................\...................\..\...............\...\SRAM_16Bit_512K.v
.................\...................\..\...............\cb_generator.pl
.................\...................\..\...............\class.ptf
.................\...................\..\altpllpll_0.ppf
.................\...................\..\Audio_0.v
.................\...................\..\AUDIO_DAC_FIFO.v
.................\...................\..\Audio_PLL.ppf
.................\...................\..\Audio_PLL.v
.................\...................\..\bht_ram.mif
.................\...................\..\button_pio.v
.................\...................\..\clock_0.v
.................\...................\..\clock_1.v
.................\...................\..\cpu_0.ocp
.................\...................\..\cpu_0.v
.................\...................\..\cpu_0.vo
.................\...................\..\cpu_0_bht_ram.mif
.................\...................\..\cpu_0_dc_tag_ram.mif
.................\...................\..\cpu_0_ic_tag_ram.mif
.................\...................\..\cpu_0_jtag_debug_module.v
.................\...................\..\cpu_0_jtag_debug_module_wrapper.v
.................\...................\..\cpu_0_mult_cell.v
.................\...................\..\cpu_0_ociram_default_contents.mif
.................\...................\..\cpu_0_rf_ram_a.mif
.................\...................\..\cpu_0_rf_ram_b.mif
.................\...................\..\cpu_0_test_bench.v
.................\...................\..\dc_tag_ram.mif
.................\...................\..\DE2_NIOS.qsf
.................\...................\..\DE2_NIOS_DEVICE_LED.pof
.................\...................\..\DE2_NIOS_DEVICE_LED.qpf
.................\...................\..\DE2_NIOS_DEVICE_LED.qsf
.................\...................\..\DE2_NIOS_DEVICE_LED.sof
.................\...................\..\DE2_NIOS_DEVICE_LED.v
.................\...................\..\DM9000A.v
.................\...................\..\DM9000A_IF.v
.................\...................\..\epcs_controller.v
.................\...................\..\epcs_controller_boot_rom.hex
.................\...................\..\FIFO_16_256.v
.................\...................\..\I2C_AV_Config.v
.................\...................\..\I2C_Controller.v
.................\...................\..\ic_tag_ram.mif
.................\...................\..\Img_DATA.hex
.................\...................\..\Img_RAM.v
.................\...................\..\ISP1362.v
.................\...................\..\ISP1362_IF.v
.................\...................\..\jtag_uart_0.v
.................\...................\..\lcd_16207_0.v
.................\...................\..\led_green.v
.................\...................\..\led_red.v
.................\...................\..\Reset_Delay.v
.................\...................\..\rf_ram_a.mif
.................\...................\..\rf_ram_b.mif
.................\...................\..\sdram_0.v
.................\...................\..\sdram_0_test_component.v
.................\...................\..\SDRAM_PLL.ppf
.................\...................\..\SDRAM_PLL.v
.................\...................\..\SD_CLK.v
.................\...................\..\SD_CMD.v
.................\...................\..\SD_DAT.v
.................\...................\..\SEG7_Display.v
.................\...................\..\SEG7_LUT.v
.................\...................\..\SEG7_LUT_8.v
.................\...................\..\sram_0.v
.................\...................\..\SRAM_16Bit_512K.v
.................\...................\..\switch_pio.v
.................\...................\..\system_0.bsf
.................\...................\..\system_0.ptf
.................\...................\..\system_0.v
.................\...................\..\system_0_generation_script
.................\...................\..\system_0_setup_quartus.tcl
.................\...................\..\timer_0.v
.................\...................\..\timer_1.v
.................\...................\..\uart_0.v
.................\...................\..\VGA_0.v
.................\...................\..\VGA_Controller.v
.................\...................\..\VGA_NIOS_CTRL.v
.................\...................\..\VGA_OSD_RAM.v
.................\...................\..\VGA_Param.h
.................\...................\SW
.................\...................\..\src
.................\...................\..\...\borlndmm.dll
.................\...................\..\...\cc3260mt.dll
.................\...................\..\...\D12test.inf
.................\...................\..\...\D12TEST.sys
.................\...................\..\...\EasyD12.dll
.................\...................\..\...\EasyD12.H
.................\...................\..\...\EasyD12.lib
.................\...................\..\...\easyd12forcb.lib
.................\...................\..\...\Project1.bpr
.................\...................\..\...\Project1.cpp
.................\...................\..\...\Project1.exe
.................\...................\..\...\Project1.res
.................\...................\..\...\Project1.tds
.................\...................\..\...\rtl60.bpl
.................\...................\..\...\Unit1.cpp
.................\...................\..\...\Unit1.ddp
.................\...................\..\...\Unit1.dfm
.................\...................\..\...\Unit1.h
.................\...................\..\...\vcl60.bpl
.................\...................\..\borlndmm.dll
.................\...................\..\cc3260mt.dll
.................\...................\..\EasyD12.dll
.................\...................\..\ISP1362DcUsb.exe
.................\...................\..\rtl60.bpl
.................\...................\..\USBVIEW.EXE
.................\...................\..\vcl60.bpl
.................\...................\D12test.inf
.................\...................\D12TEST.sys
.................\...................\README.txt
.................\DE2_NIOS_HOST_MOUSE_VGA
.................\.......................\.metadata
.................\.......................\.........\.plugins
.................\.......................\.........\........\org.eclipse.core.resources
.................\.......................\.........\........\..........................\.projects
.................\.......................\.........\........\..........................\.........\hello_led_0
.................\.......................\.........\........\..........................\.........\...........\.properties
.................\.......................\.........\........\..........................\.........\hello_led_0_syslib
.................\.......................\.........\........\..........................\.........\..................\.properties
.................\.......................\.........\........\..........................\.root
.................\.......................\.........\........\..........................\.....\9.tree
.................\.......................\.........\........\..........................\.safetable
.................\.......................\.........\........\..........................\..........\org.eclipse.core.resources
.................\.......................\.........\........\org.eclipse.core.runtime
.................\.......................\.........\........\........................\.settings
.................\.......................\.........\........\........................\.........\org.eclipse.cdt.core.prefs
.................\.......................\.........\........\........................\.........\org.eclipse.cdt.debug.core.prefs
.................\.......................\.........\........\........................\.........\org.eclipse.cdt.ui.prefs
.................\.......................\.........\........\........................\.........\org.eclipse.ui.prefs
.................\.......................\.........\........\org.eclipse.ui.workbench
.................\.......................\.........\........\........................\dialog_settings.xml
.................\.......................\.........\........\........................\workbench.xml
.................\.......................\.........\.lock
.................\.......................\.........\version.ini
.................\.......................\.sopc_builder
.................\.......................\.............\install.ptf
.................\.......................\.............\preferences.xml
.................\.......................\Audio_DAC_FIFO
.................\.......................\..............\hdl
.................\.......................\..............\...\AUDIO_DAC_FIFO.v
.................\.......................\..............\...\FIFO_16_256.v
.................\.......................\..............\cb_generator.pl
.................\.......................\..............\class.ptf
.................\.......................\Binary_VGA_Controller
.................\.......................\.....................\hdl
.................\.......................\.....................\...\Img_DATA.hex
.................\.......................\.....................\...\Img_RAM.v
.................\.......................\.....................\...\VGA_Controller.v
.................\.......................\.....................\...\VGA_NIOS_CTRL.v
.................\.......................\.....................\...\VGA_OSD_RAM.v
.................\.......................\.....................\...\VGA_Param.h
.................\.......................\.....................\inc
.................\.......................\.....................\...\VGA.c
.................\.......................\.....................\...\VGA.h
.................\.......................\.....................\cb_generator.pl
.................\.......................\.....................\class.ptf
.................\.......................\db
.................\.......................\..\altsyncram_1731.tdf
.................\.......................\..\altsyncram_4be1.tdf
.................\.......................\..\altsyncram_5be1.tdf
.................\.......................\..\altsyncram_9tl1.tdf
.................\.......................\..\altsyncram_a422.tdf
.................\.......................\..\altsyncram_brg1.tdf
.................\.......................\..\altsyncram_c572.tdf
.................\.......................\..\altsyncram_cub1.tdf
.................\.......................\..\altsyncram_e502.tdf
.................\.......................\..\altsyncram_k1l1.tdf
.................\.......................\..\altsyncram_l211.tdf
.................\.......................\..\altsyncram_lo31.tdf
.................\.......................\..\altsyncram_p132.tdf
.................\.......................\..\altsyncram_pfn1.tdf
.................\.......................\..\altsyncram_q7o1.tdf
.................\.......................\..\altsyncram_q8e1.tdf
.................\.......................\..\altsyncram_tke1.tdf
.................\.......................\..\altsyncram_vf22.tdf
.................\.......................\..\altsyncram_vk81.tdf
.................\.......................\..\alt_synch_pipe_hn7.tdf
.................\.......................\..\alt_synch_pipe_jcb.tdf
.................\.......................\..\alt_synch_pipe_nc8.tdf
.................\.......................\..\alt_synch_pipe_oc8.tdf
.................\.......................\..\a_dpfifo_8t21.tdf
.................\.......................\..\a_fefifo_7cf.tdf
.................\.......................\..\a_graycounter_6fc.tdf
.................\.......................\..\a_graycounter_7fc.tdf
.................\.......................\..\a_graycounter_a17.tdf
.................\.......................\..\a_graycounter_b17.tdf
.................\.......................\..\a_graycounter_g86.tdf
.................\.......................\..\cntr_dl8.tdf
.................\.......................\..\cntr_fjb.tdf
.................\.......................\..\cntr_rj7.tdf
.................\.......................\..\dcfifo_e6c1.tdf
.................\.......................\..\dcfifo_hlj1.tdf
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(0).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(0).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(1).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(1).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(10).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(10).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(100).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(100).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(101).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(101).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(102).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(102).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(103).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(103).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(104).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(104).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(105).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(105).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(106).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(106).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(107).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(107).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(108).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(108).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(109).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(109).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(11).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(11).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(110).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(110).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(111).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(111).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(112).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(112).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(113).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(113).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(114).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(114).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(115).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(115).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(116).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(116).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(117).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(117).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(118).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(118).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(119).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(119).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(12).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(12).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(120).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(120).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(121).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(121).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(122).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(122).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(123).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(123).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(124).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(124).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(125).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(125).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(126).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(126).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(127).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(127).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(128).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(128).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(129).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(129).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(13).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(13).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(130).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(130).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(131).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(131).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(132).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(132).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(133).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(133).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(134).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(134).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(135).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(135).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(136).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(136).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(137).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(137).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(138).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(138).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(139).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(139).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(14).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(14).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(140).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(140).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(141).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(141).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(142).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(142).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(143).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(143).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(144).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(144).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(145).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(145).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(146).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(146).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(147).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(147).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(148).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(148).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(149).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(149).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(15).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(15).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(150).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(150).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(151).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(151).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(152).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(152).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(153).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(153).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(154).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(154).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(155).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(155).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(156).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(156).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(157).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(157).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(158).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(158).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(159).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(159).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(16).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(16).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(160).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(160).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(161).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(161).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(162).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(162).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(163).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(163).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(164).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(164).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(165).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(165).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(166).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(166).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(167).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(167).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(168).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(168).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(169).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(169).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(17).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(17).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(170).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(170).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(171).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(171).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(172).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(172).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(173).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(173).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(174).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(174).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(175).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(175).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(176).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(176).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(177).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(177).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(178).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(178).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(179).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(179).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(18).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(18).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(180).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(180).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(181).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(181).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(182).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(182).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(183).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(183).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(184).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(184).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(185).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(185).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(186).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(186).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(187).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(187).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(188).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(188).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(189).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(189).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(19).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(19).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(190).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(190).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(191).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(191).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(192).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(192).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(193).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(193).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(194).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(194).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(195).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(195).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(196).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(196).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(197).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(197).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(198).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(198).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(199).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(199).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(2).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(2).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(20).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(20).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(200).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(200).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(201).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(201).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(202).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(202).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(203).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(203).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(204).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(204).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(205).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(205).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(206).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(206).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(21).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(21).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(22).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(22).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(23).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(23).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(24).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(24).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(25).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(25).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(26).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(26).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(27).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(27).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(28).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(28).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(29).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(29).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(3).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(3).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(30).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(30).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(31).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(31).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(32).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(32).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(33).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(33).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(34).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(34).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(35).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(35).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(36).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(36).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(37).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(37).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(38).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(38).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(39).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(39).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(4).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(4).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(40).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(40).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(41).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(41).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(42).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(42).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(43).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(43).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(44).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(44).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(45).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(45).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(46).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(46).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(47).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(47).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(48).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(48).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(49).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(49).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(5).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(5).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(50).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(50).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(51).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(51).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(52).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(52).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(53).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(53).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(54).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(54).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(55).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(55).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(56).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(56).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(57).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(57).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(58).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(58).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(59).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(59).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(6).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(6).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(60).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(60).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(61).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(61).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(62).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(62).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(63).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(63).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(64).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(64).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(65).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(65).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(66).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(66).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(67).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(67).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(68).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(68).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(69).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(69).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(7).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(7).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(70).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(70).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(71).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(71).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(72).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(72).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(73).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(73).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(74).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(74).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(75).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(75).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(76).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(76).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(77).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(77).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(78).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(78).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(79).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(79).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(8).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(8).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(80).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(80).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(81).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(81).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(82).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(82).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(83).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(83).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(84).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(84).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(85).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(85).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(86).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(86).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(87).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(87).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(88).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(88).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(89).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(89).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(9).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(9).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(90).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(90).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(91).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(91).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(92).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(92).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(93).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(93).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(94).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(94).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(95).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(95).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(96).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(96).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(97).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(97).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(98).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(98).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(99).cnf.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.(99).cnf.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.asm.qmsg
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.asm_labs.ddb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.cbx.xml
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.cmp.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.cmp.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.cmp.logdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.cmp.rdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.cmp.tdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.cmp0.ddb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.db_info
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.eco.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.fit.qmsg
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.hier_info
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.hif
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.map.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.map.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.map.logdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.map.qmsg
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.pre_map.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.pre_map.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.rtlv.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.rtlv_sg.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.rtlv_sg_swap.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.sgdiff.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.sgdiff.hdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.signalprobe.cdb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.sld_design_entry.sci
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.sld_design_entry_dsc.sci
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.smp_dump.txt
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.syn_hier_info
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.tan.qmsg
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.tis_db_list.ddb
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA.tmw_info
.................\.......................\..\DE2_NIOS_HOST_MOUSE_VGA0.rtl.mif
.................\.......................\..\decode_1qa.tdf
.................\.......................\..\decode_aoi.tdf
.................\.......................\..\ded_mult_2o81.tdf
.................\.......................\..\dffpipe_93c.tdf
.................\.......................\..\dffpipe_bo8.tdf
.................\.......................\..\dffpipe_hd9.tdf
.................\.......................\..\dffpipe_id9.tdf
.................\.......................\..\dffpipe_ngh.tdf
.................\.......................\..\dpram_5h21.tdf
.................\.......................\..\dpram_6h21.tdf
.................\.......................\..\mult_add_4cr2.tdf
.................\.......................\..\mult_add_6cr2.tdf
.................\.......................\..\mux_akb.tdf
.................\.......................\..\mux_hkb.tdf
.................\.......................\..\rom0_I2C_AV_Config_fe53227f.hdl.mif
.................\.......................\..\scfifo_1n21.tdf
.................\.......................\DE2_Board
.................\.......................\.........\system
.................\.......................\.........\......\.sopc_builder
.................\.......................\.........\......\.............\install.ptf
.................\.......................\.........\......\DE2_Board_sim
.................\.......................\.........\......\.............\atail-f.pl
.................\.......................\.........\......\.............\contents_file_warning.txt
.................\.......................\.........\......\.............\jtag_uart_0_input_mutex.dat
.................\.......................\.........\......\.............\jtag_uart_0_input_stream.dat
.................\.......................\.........\......\.............\jtag_uart_0_output_stream.dat
.................\.......................\.........\......\asmi.v
.................\.......................\.........\......\cmp_state.ini
.................\.......................\.........\......\cpu_0.ocp
.................\.......................\.........\......\cpu_0.v
.................\.......................\.........\......\cpu_0_test_bench.v
.................\.......................\.........\......\data_RAM.hex
.................\.......................\.........\......\data_RAM.v
.................\.......................\.........\......\DE2_Board.asm.rpt
.................\.......................\.........\......\DE2_Board.bsf
.................\.......................\.........\......\DE2_Board.cdf
.................\.......................\.........\......\DE2_Board.done
.................\.......................\.........\......\DE2_Board.fit.eqn
.................\.......................\.........\......\DE2_Board.fit.rpt
.................\.......................\.........\......\DE2_Board.fit.summary
.................\.......................\.........\......\DE2_Board.flow.rpt
.................\.......................\.........\......\DE2_Board.map.eqn
.................\.......................\.........\......\DE2_Board.map.rpt
.................\.......................\.........\......\DE2_Board.map.summary
.................\.......................\.........\......\DE2_Board.pin
.................\.......................\.........\......\DE2_Board.pof
.................\.......................\.........\......\DE2_Board.ptf
.................\.......................\.........\......\DE2_Board.ptf.5.00
.................\.......................\.........\......\DE2_Board.ptf.bak
.................\.......................\.........\......\DE2_Board.qpf
.................\.......................\.........\......\DE2_Board.qsf
.................\.......................\.........\......\DE2_Board.qws
.................\.......................\.........\......\DE2_Board.sof
.................\.......................\.........\......\DE2_Board.tan.rpt
.................\.......................\.........\......\DE2_Board.tan.summary
.................\.......................\.........\......\DE2_Board.v
.................\.......................\.........\......\DE2_Board_assignment_defaults.qdf
.................\.......................\.........\......\DE2_Board_generation_script
.................\.......................\.........\......\DE2_Board_log.txt
.................\.......................\.........\......\DE2_Board_setup_quartus.tcl
.................\.......................\.........\......\DE2_Board_top.bdf
.................\.......................\.........\......\delay_reset_block.bdf
.................\.......................\.........\......\firmware_ROM.hex
.................\.......................\.........\......\firmware_ROM.v
.................\.......................\.........\......\jtag_uart_0.v
.................\.......................\.........\......\payload_buffer.hex
.................\.......................\.........\......\payload_buffer.v
.................\.......................\.........\......\reset_counter.v
.................\.......................\.........\......\rf_ram.mif
.................\.......................\.........\......\sopc_builder_debug_log.txt
.................\.......................\.........\......\sysid.v
.................\.......................\.........\class.ptf
.................\.......................\DM9000A
.................\.......................\.......\hdl
.................\.......................\.......\...\DM9000A_IF.v
.................\.......................\.......\inc
.................\.......................\.......\...\basic_io.h
.................\.......................\.......\...\DM9000A.C
.................\.......................\.......\...\DM9000A.H
.................\.......................\.......\cb_generator.pl
.................\.......................\.......\class.ptf
.................\.......................\hello_led_0
.................\.......................\...........\.cdtbuild
.................\.......................\...........\.cdtproject
.................\.......................\...........\.project
.................\.......................\...........\application.stf
.................\.......................\...........\basic_io.h
.................\.......................\...........\BUF_MAN.C
.................\.......................\...........\BUF_MAN.h
.................\.......................\...........\CHAP_9.C
.................\.......................\...........\CHAP_9.H
.................\.......................\...........\CHEEYU.C
.................\.......................\...........\CHEEYU.H
.................\.......................\...........\D13BUS.C
.................\.......................\...........\D13BUS.H
.................\.......................\...........\hello_led.c
.................\.......................\...........\ISA290.H
.................\.......................\...........\ISO.C
.................\.......................\...........\ISO.H
.................\.......................\...........\ISR.C
.................\.......................\...........\ISR.H
.................\.......................\...........\LCD.c
.................\.......................\...........\LCD.h
.................\.......................\...........\MAINLOOP.C
.................\.......................\...........\MAINLOOP.H
.................\.......................\...........\MOUSE.C
.................\.......................\...........\MOUSE.h
.................\.......................\...........\PORT.C
.................\.......................\...........\PORT.h
.................\.......................\...........\PTD.C
.................\.......................\...........\PTD.h
.................\.......................\...........\readme.txt
.................\.......................\...........\REG.C
.................\.......................\...........\REG.H
.................\.......................\...........\Test.c
.................\.......................\...........\Test.h
.................\.......................\...........\USB.C
.................\.......................\...........\USB.h
.................\.......................\hello_led_0_syslib
.................\.......................\..................\.cdtbuild
.................\.......................\..................\.cdtproject
.................\.......................\..................\.project
.................\.......................\..................\readme.txt
.................\.......................\..................\system.stf
.................\.......................\ISP1362
.................\.......................\.......\hdl
.................\.......................\.......\...\ISP1362_IF.v
.................\.......................\.......\inc
.................\.......................\.......\...\BASICTYP.H
.................\.......................\.......\...\COMMON.H
.................\.......................\.......\...\HAL4D13.C
.................\.......................\.......\...\HAL4D13.H
.................\.......................\.......\...\usb_irq.c
.................\.......................\.......\...\usb_irq.h
.................\.......................\.......\cb_generator.pl
.................\.......................\.......\class.ptf
.................\.......................\SEG7_LUT_8
.................\.......................\..........\hdl
.................\.......................\..........\...\SEG7_LUT.v
.................\.......................\..........\...\SEG7_LUT_8.v
.................\.......................\..........\inc
.................\.......................\..........\...\basic_io.h
.................\.......................\..........\cb_generator.pl
.................\.......................\..........\class.ptf
.................\.......................\software
.................\.......................\........\hello_world_0
.................\.......................\........\.............\.cdtbuild
.................\.......................\........\.............\.cdtproject
.................\.......................\........\.............\.project
.................\.......................\........\.............\application.stf
.................\.......................\........\.............\hello_world.c
.................\.......................\........\.............\readme.txt
.................\.......................\........\hello_world_0_syslib
.................\.......................\........\....................\.cdtbuild
.................\.......................\........\....................\.cdtproject
.................\.......................\........\....................\.project
.................\.......................\........\....................\readme.txt
.................\.......................\........\....................\system.stf
.................\.......................\SRAM_16Bit_512K
.................\.......................\...............\hdl
.................\.......................\...............\...\SRAM_16Bit_512K.v
.................\.......................\...............\cb_generator.pl
.................\.......................\...............\class.ptf
.................\.......................\system_0_sim
.................\.......................\............\atail-f.pl
.................\.......................\............\dummy_file
.................\.......................\............\jtag_uart_0_input_mutex.dat
.................\.......................\............\jtag_uart_0_input_stream.dat
.................\.......................\............\jtag_uart_0_output_stream.dat
.................\.......................\............\uart_0_input_data_mutex.dat
.................\.......................\............\uart_0_input_data_stream.dat
.................\.......................\............\uart_0_log_module.txt
.................\.......................\altpllpll_0.ppf
.................\.......................\Audio_0.v
.................\.......................\AUDIO_DAC_FIFO.v
.................\.......................\Audio_PLL.ppf
.................\.......................\Audio_PLL.v
.................\.......................\bht_ram.mif
.................\.......................\button_pio.v
.................\.......................\clock_0.v
.................\.......................\clock_1.v
.................\.......................\cmp_state.ini
.................\.......................\cpu_0.ocp
.................\.......................\cpu_0.v
.................\.......................\cpu_0.vo
.................\.......................\cpu_0_bht_ram.mif
.................\.......................\cpu_0_dc_tag_ram.mif
.................\.......................\cpu_0_ic_tag_ram.mif
.................\.......................\cpu_0_jtag_debug_module.v
.................\.......................\cpu_0_jtag_debug_module_wrapper.v
.................\.......................\cpu_0_mult_cell.v
.................\.......................\cpu_0_ociram_default_contents.mif
.................\.......................\cpu_0_rf_ram_a.mif
.................\.......................\cpu_0_rf_ram_b.mif
.................\.......................\cpu_0_test_bench.v
.................\.......................\DE2_NIOS_HOST_MOUSE_VGA.asm.rpt
.................\.......................\DE2_NIOS_HOST_MOUSE_VGA.done
.................\.......................\DE2_NIOS_HOST_MOUSE_VGA.fit.rpt
.................\.......................\DE2_NIOS_HOST_MOUSE_VGA.fit.summary
.................\.......................\DE2_NIOS_HOST_MOUSE_VGA.flow.rpt
.................\.......................\DE2_NIOS_HOST_MOUSE_VGA.jdi
.................\.......................\DE2_NIOS_HOST_MOUSE_VGA.map.rpt
.................\.......................\DE2_NIOS_HOST_MOUSE_VGA.map.smsg
.................\.......................\DE2_NIOS_HOST_MOUSE_VGA.map.summary
.................\.......................\DE2_NIOS_HOST_MOUSE_VGA.pin
.................\.......................\DE2_NIOS_HOST_MOUSE_VGA.pof
.................\.......................\DE2_NIOS_HOST_MOUSE_VGA.qpf
.................\.......................\DE2_NIOS_HOST_MOUSE_VGA.qsf
.................\.......................\DE2_NIOS_HOST_MOUSE_VGA.qws
.................\.......................\DE2_NIOS_HOST_MOUSE_VGA.sof
.................\.......................\DE2_NIOS_HOST_MOUSE_VGA.tan.rpt
.................\.......................\DE2_NIOS_HOST_MOUSE_VGA.tan.summary
.................\.......................\DE2_NIOS_HOST_MOUSE_VGA.v
.................\.......................\DE2_NIOS_HOST_MOUSE_VGA_assignment_defaults.qdf
.................\.......................\DE2_NIOS_HOST_MOUSE_VGA_time_limited.sof
.................\.......................\DM9000A.v
.................\.......................\DM9000A_IF.v
.................\.......................\epcs_controller.v
.................\.......................\epcs_controller_boot_rom.hex
.................\.......................\FIFO_16_256.v
.................\.......................\I2C_AV_Config.v
.................\.......................\I2C_Controller.v
.................\.......................\ic_tag_ram.mif
.................\.......................\Img_DATA.hex
.................\.......................\Img_RAM.v
.................\.......................\ISP1362.v
.................\.......................\ISP1362_IF.v
.................\.......................\jtag_uart_0.v
.................\.......................\lcd_16207_0.v
.................\.......................\led_green.v
.................\.......................\led_red.v
.................\.......................\README.txt
.................\.......................\Reset_Delay.v
.................\.......................\rf_ram_a.mif
.................\.......................\rf_ram_b.mif
.................\.......................\sdram_0.v
.................\.......................\sdram_0_test_component.v
.................\.......................\SDRAM_PLL.ppf
.................\.......................\SDRAM_PLL.v
.................\.......................\SD_CLK.v
.................\.......................\SD_CMD.v
.................\.......................\SD_DAT.v
.................\.......................\SEG7_Display.v
.................\.......................\SEG7_LUT.v
.................\.......................\SEG7_LUT_8.v
.................\.......................\sopc_builder_debug_log.txt
.................\.......................\sopc_builder_log.txt
.................\.......................\sram_0.v
.................\.......................\SRAM_16Bit_512K.v
.................\.......................\switch_pio.v
.................\.......................\system_0.bsf
.................\.......................\system_0.ptf
.................\.......................\system_0.ptf.6.00
.................\.......................\system_0.ptf.bak
.................\.......................\system_0.v
.................\.......................\system_0_generation_script
.................\.......................\system_0_setup_quartus.tcl
.................\.......................\timer_0.v
.................\.......................\timer_1.v
.................\.......................\uart_0.v
.................\.......................\VGA_0.v
.................\.......................\VGA_Controller.v
.................\.......................\VGA_NIOS_CTRL.v
.................\.......................\VGA_OSD_RAM.v
.................\.......................\VGA_Param.h
.................\DE2_SD_Card_Audio
.................\.................\.metadata
.................\.................\.........\.plugins
.................\.................\.........\........\org.eclipse.core.resources
.................\.................\.........\........\..........................\.projects
.................\.................\.........\........\..........................\.........\hello_led_0
.................\.................\.........\........\..........................\.........\...........\.properties
.................\.................\.........\........\..........................\.........\hello_led_0_syslib
.................\.................\.........\........\..........................\.........\..................\.properties
.................\.................\.........\........\..........................\.root
.................\.................\.........\........\..........................\.....\5.tree
.................\.................\.........\........\..........................\.safetable
.................\.................\.........\........\..........................\..........\org.eclipse.core.resources
.................\.................\.........\........\org.eclipse.core.runtime
.................\.................\.........\........\........................\.settings
.................\.................\.........\........\........................\.........\org.eclipse.cdt.core.prefs
.................\.................\.........\........\........................\.........\org.eclipse.cdt.debug.core.prefs
.................\.................\.........\........\........................\.........\org.eclipse.cdt.ui.prefs
.................\.................\.........\........\........................\.........\org.eclipse.ui.prefs
.................\.................\.........\........\org.eclipse.ui.workbench
.................\.................\.........\........\........................\dialog_settings.xml
.................\.................\.........\........\........................\workbench.xml
.................\.................\.........\.lock
.................\.................\.........\version.ini
.................\.................\.sopc_builder
.................\.................\.............\install.ptf
.................\.................\Audio_DAC_FIFO
.................\.................\..............\hdl
.................\.................\..............\...\AUDIO_DAC_FIFO.v
.................\.................\..............\...\FIFO_16_256.v
.................\.................\..............\cb_generator.pl
.................\.................\..............\class.ptf
.................\.................\Binary_VGA_Controller
.................\.................\.....................\hdl
.................\.................\.....................\...\Img_DATA.hex
.................\.................\.....................\...\Img_RAM.v
.................\.................\.....................\...\VGA_Controller.v
.................\.................\.....................\...\VGA_NIOS_CTRL.v
.................\.................\.....................\...\VGA_OSD_RAM.v
.................\.................\.....................\...\VGA_Param.h
.................\.................\.....................\inc
.................\.................\.....................\...\VGA.c
.................\.................\.....................\...\VGA.h
.................\.................\.....................\cb_generator.pl
.................\.................\.....................\class.ptf
.................\.................\DE2_Board
.................\.................\.........\system
.................\.................\.........\......\.sopc_builder
.................\.................\.........\......\.............\install.ptf
.................\.................\.........\......\DE2_Board_sim
.................\.................\.........\......\.............\atail-f.pl
.................\.................\.........\......\.............\contents_file_warning.txt
.................\.................\.........\......\.............\jtag_uart_0_input_mutex.dat
.................\.................\.........\......\.............\jtag_uart_0_input_stream.dat
.................\.................\.........\......\.............\jtag_uart_0_output_stream.dat
.................\.................\.........\......\asmi.v
.................\.................\.........\......\cmp_state.ini
.................\.................\.........\......\cpu_0.ocp
.................\.................\.........\......\cpu_0.v
.................\.................\.........\......\cpu_0_test_bench.v
.................\.................\.........\......\data_RAM.hex
.................\.................\.........\......\data_RAM.v
.................\.................\.........\......\DE2_Board.asm.rpt
.................\.................\.........\......\DE2_Board.bsf
.................\.................\.........\......\DE2_Board.cdf
.................\.................\.........\......\DE2_Board.done
.................\.................\.........\......\DE2_Board.fit.eqn
.................\.................\.........\......\DE2_Board.fit.rpt
.................\.................\.........\......\DE2_Board.fit.summary
.................\.................\.........\......\DE2_Board.flow.rpt
.................\.................\.........\......\DE2_Board.map.eqn
.................\.................\.........\......\DE2_Board.map.rpt
.................\.................\.........\......\DE2_Board.map.summary
.................\.................\.........\......\DE2_Board.pin
.................\.................\.........\......\DE2_Board.pof
.................\.................\.........\......\DE2_Board.ptf
.................\.................\.........\......\DE2_Board.ptf.5.00
.................\.................\.........\......\DE2_Board.ptf.bak
.................\.................\.........\......\DE2_Board.qpf
.................\.................\.........\......\DE2_Board.qsf
.................\.................\.........\......\DE2_Board.qws
.................\.................\.........\......\DE2_Board.sof
.................\.................\.........\......\DE2_Board.tan.rpt
.................\.................\.........\......\DE2_Board.tan.summary
.................\.................\.........\......\DE2_Board.v
.................\.................\.........\......\DE2_Board_assignment_defaults.qdf
.................\.................\.........\......\DE2_Board_generation_script
.................\.................\.........\......\DE2_Board_log.txt
.................\.................\.........\......\DE2_Board_setup_quartus.tcl
.................\.................\.........\......\DE2_Board_top.bdf
.................\.................\.........\......\delay_reset_block.bdf
.................\.................\.........\......\firmware_ROM.hex
.................\.................\.........\......\firmware_ROM.v
.................\.................\.........\......\jtag_uart_0.v
.................\.................\.........\......\payload_buffer.hex
.................\.................\.........\......\payload_buffer.v
.................\.................\.........\......\reset_counter.v
.................\.................\.........\......\rf_ram.mif
.................\.................\.........\......\sopc_builder_debug_log.txt
.................\.................\.........\......\sysid.v
.................\.................\.........\class.ptf
.................\.................\DM9000A
.................\.................\.......\hdl
.................\.................\.......\...\DM9000A_IF.v
.................\.................\.......\inc
.................\.................\.......\...\basic_io.h
.................\.................\.......\...\DM9000A.C
.................\.................\.......\...\DM9000A.H
.................\.................\.......\cb_generator.pl
.................\.................\.......\class.ptf
.................\.................\hello_led_0
.................\.................\...........\.cdtbuild
.................\.................\...........\.cdtproject
.................\.................\...........\.project
.................\.................\...........\application.stf
.................\.................\...........\basic_io.h
.................\.................\...........\hello_led.c
.................\.................\...........\LCD.c
.................\.................\...........\LCD.h
.................\.................\...........\readme.txt
.................\.................\...........\SD_Card.h
.................\.................\hello_led_0_syslib
.................\.................\..................\.cdtbuild
.................\.................\..................\.cdtproject
.................\.................\..................\.project
.................\.................\..................\readme.txt
.................\.................\..................\system.stf
.................\.................\ISP1362
.................\.................\.......\hdl
.................\.................\.......\...\ISP1362_IF.v
.................\.................\.......\inc
.................\.................\.......\...\BASICTYP.H
.................\.................\.......\...\COMMON.H
.................\.................\.......\...\HAL4D13.C
.................\.................\.......\...\HAL4D13.H
.................\.................\.......\...\usb_irq.c
.................\.................\.......\...\usb_irq.h
.................\.................\.......\cb_generator.pl
.................\.................\.......\class.ptf
.................\.................\SEG7_LUT_8
.................\.................\..........\hdl
.................\.................\..........\...\SEG7_LUT.v
.................\.................\..........\...\SEG7_LUT_8.v
.................\.................\..........\inc
.................\.................\..........\...\basic_io.h
.................\.................\..........\cb_generator.pl
.................\.................\..........\class.ptf
.................\.................\SRAM_16Bit_512K
.................\.................\...............\hdl
.................\.................\...............\...\SRAM_16Bit_512K.v
.................\.................\...............\cb_generator.pl
.................\.................\...............\class.ptf
.................\.................\altpllpll_0.ppf
.................\.................\Audio_0.v
.................\.................\AUDIO_DAC_FIFO.v
.................\.................\Audio_PLL.ppf
.................\.................\Audio_PLL.v
.................\.................\bht_ram.mif
.................\.................\button_pio.v
.................\.................\clock_0.v
.................\.................\clock_1.v
.................\.................\cpu_0.ocp
.................\.................\cpu_0.v
.................\.................\cpu_0.vo
.................\.................\cpu_0_bht_ram.mif
.................\.................\cpu_0_dc_tag_ram.mif
.................\.................\cpu_0_ic_tag_ram.mif
.................\.................\cpu_0_jtag_debug_module.v
.................\.................\cpu_0_jtag_debug_module_wrapper.v
.................\.................\cpu_0_mult_cell.v
.................\.................\cpu_0_ociram_default_contents.mif
.................\.................\cpu_0_rf_ram_a.mif
.................\.................\cpu_0_rf_ram_b.mif
.................\.................\cpu_0_test_bench.v
.................\.................\dc_tag_ram.mif
.................\.................\DE2_SD_Card_Audio.pof
.................\.................\DE2_SD_Card_Audio.qpf
.................\.................\DE2_SD_Card_Audio.qsf
.................\.................\DE2_SD_Card_Audio.sof
.................\.................\DE2_SD_Card_Audio.v
.................\.................\DM9000A.v
.................\.................\DM9000A_IF.v
.................\.................\epcs_controller.v
.................\.................\epcs_controller_boot_rom.hex
.................\.................\FIFO_16_256.v
.................\.................\I2C_AV_Config.v
.................\.................\I2C_Controller.v
.................\.................\ic_tag_ram.mif
.................\.................\Img_DATA.hex
.................\.................\Img_RAM.v
.................\.................\ISP1362.v
.................\.................\ISP1362_IF.v
.................\.................\jtag_uart_0.v
.................\.................\lcd_16207_0.v
.................\.................\led_green.v
.................\.................\led_red.v
.................\.................\README.txt
.................\.................\Reset_Delay.v
.................\.................\rf_ram_a.mif
.................\.................\rf_ram_b.mif
.................\.................\sdram_0.v
.................\.................\sdram_0_test_component.v
.................\.................\SDRAM_PLL.ppf
.................\.................\SDRAM_PLL.v
.................\.................\SD_CLK.v
.................\.................\SD_CMD.v
.................\.................\SD_DAT.v
.................\.................\SEG7_Display.v
.................\.................\SEG7_LUT.v
.................\.................\SEG7_LUT_8.v
.................\.................\sram_0.v
.................\.................\SRAM_16Bit_512K.v
.................\.................\switch_pio.v
.................\.................\system_0.bsf
.................\.................\system_0.ptf
.................\.................\system_0.v
.................\.................\system_0_generation_script
.................\.................\system_0_setup_quartus.tcl
.................\.................\timer_0.v
.................\.................\timer_1.v
.................\.................\uart_0.v
.................\.................\VGA_0.v
.................\.................\VGA_Controller.v
.................\.................\VGA_NIOS_CTRL.v
.................\.................\VGA_OSD_RAM.v
.................\.................\VGA_Param.h
.................\DE2_Top
.................\.......\DE2_TOP.pof
.................\.......\DE2_TOP.qpf
.................\.......\DE2_TOP.qsf
.................\.......\DE2_TOP.sof
.................\.......\DE2_TOP.v
.................\.......\DE2_TOP_assignment_defaults.qdf
.................\.......\README.txt
.................\DE2_TV
.................\......\AUDIO_DAC.v
.................\......\DE2_TV.pof
.................\......\DE2_TV.qpf
.................\......\DE2_TV.qsf
.................\......\DE2_TV.sof
.................\......\DE2_TV.v
.................\......\DE2_TV_assignment_defaults.qdf
.................\......\dul_port_c1024.v
.................\......\I2C_AV_Config.v
.................\......\I2C_Controller.v
.................\......\itu_r656_decoder.v
.................\......\LCD_Controller.v
.................\......\LCD_TEST.v
.................\......\MAC_3.v
.................\......\ram2.v
.................\......\README.txt
.................\......\SEG7_LUT.v
.................\......\SEG7_LUT_8.v
.................\......\TV_to_VGA.v
.................\......\VGA_Audio_PLL.v
.................\......\VGA_Param.h
.................\......\YCbCr2RGB.v
.................\DE2_USB_API
.................\...........\HW
.................\...........\..\Multi_Flash
.................\...........\..\...........\Flash_Command.h
.................\...........\..\...........\Flash_Controller.v
.................\...........\..\...........\Flash_Multiplexer.v
.................\...........\..\...........\Multi_Flash.v
.................\...........\..\Multi_Sdram
.................\...........\..\...........\command.v
.................\...........\..\...........\control_interface.v
.................\...........\..\...........\Multi_Sdram.v
.................\...........\..\...........\Params.v
.................\...........\..\...........\PLL1.ppf
.................\...........\..\...........\PLL1.v
.................\...........\..\...........\Sdram_Controller.v
.................\...........\..\...........\Sdram_Multiplexer.v
.................\...........\..\...........\Sdram_Params.h
.................\...........\..\...........\sdr_data_path.v
.................\...........\..\VGA_Controller
.................\...........\..\..............\Img_DATA.hex
.................\...........\..\..............\Img_RAM.v
.................\...........\..\..............\VGA_Controller.v
.................\...........\..\..............\VGA_OSD_RAM.v
.................\...........\..\..............\VGA_Param.h
.................\...........\..\..............\VGA_PLL.v
.................\...........\..\async_receiver.v
.................\...........\..\async_transmitter.v
.................\...........\..\AUDIO_DAC.v
.................\...........\..\CLK_LOCK.v
.................\...........\..\CMD_Decode.v
.................\...........\..\DE2_USB_API.pof
.................\...........\..\DE2_USB_API.qpf
.................\...........\..\DE2_USB_API.qsf
.................\...........\..\DE2_USB_API.sof
.................\...........\..\DE2_USB_API.v
.................\...........\..\DE2_USB_API_assignment_defaults.qdf
.................\...........\..\Flash_Command.h
.................\...........\..\I2C_AV_Config.v
.................\...........\..\I2C_Controller.v
.................\...........\..\Img_DATA.hex
.................\...........\..\LCD_Controller.v
.................\...........\..\Multi_Sram.v
.................\...........\..\ps2_keyboard.v
.................\...........\..\RS232_Command.h
.................\...........\..\RS232_Controller.v
.................\...........\..\SEG7_LUT.v
.................\...........\..\SEG7_LUT_8.v
.................\...........\..\SRAM_16Bit_512K.v
.................\...........\..\USB_JTAG.v
.................\...........\..\VGA_Audio_PLL.v
.................\...........\SW
.................\...........\..\DE2_Control_Panel.exe
.................\...........\..\DE2_USB_API.pof
.................\...........\..\DE2_USB_API.sof
.................\...........\..\FTD2XX.DLL
.................\...........\..\Image_Convert_English.pdf
.................\...........\..\ImgConv.exe
.................\...........\README.txt
.................\fixpaths
.................\........\DE2_fixpaths.bat
.................\........\fixpath.exe
.................\music
.................\.....\music.wav
.................\.....\music_4mb.wav
.................\.....\music_long.wav
.................\pictures
.................\........\picture.bmp
picture.bmp
.................\........\picture.dat
.................\SOPC_Builder
.................\............\Component
.................\............\.........\Audio_DAC_FIFO
.................\............\.........\..............\hdl
.................\............\.........\..............\...\AUDIO_DAC_FIFO.v
.................\............\.........\..............\...\FIFO_16_256.v
.................\............\.........\..............\cb_generator.pl
.................\............\.........\..............\class.ptf
.................\............\.........\Binary_VGA_Controller
.................\............\.........\.....................\hdl
.................\............\.........\.....................\...\Img_DATA.hex
.................\............\.........\.....................\...\Img_RAM.v
.................\............\.........\.....................\...\VGA_Controller.v
.................\............\.........\.....................\...\VGA_NIOS_CTRL.v
.................\............\.........\.....................\...\VGA_OSD_RAM.v
.................\............\.........\.....................\...\VGA_Param.h
.................\............\.........\.....................\inc
.................\............\.........\.....................\...\VGA.c
.................\............\.........\.....................\...\VGA.h
.................\............\.........\.....................\cb_generator.pl
.................\............\.........\.....................\class.ptf
.................\............\.........\DM9000A
.................\............\.........\.......\hdl
.................\............\.........\.......\...\DM9000A_IF.v
.................\............\.........\.......\inc
.................\............\.........\.......\...\basic_io.h
.................\............\.........\.......\...\DM9000A.C
.................\............\.........\.......\...\DM9000A.H
.................\............\.........\.......\cb_generator.pl
.................\............\.........\.......\class.ptf
.................\............\.........\ISP1362
.................\............\.........\.......\hdl
.................\............\.........\.......\...\ISP1362_IF.v
.................\............\.........\.......\inc
.................\............\.........\.......\...\BASICTYP.H
.................\............\.........\.......\...\COMMON.H
.................\............\.........\.......\...\HAL4D13.C
.................\............\.........\.......\...\HAL4D13.H
.................\............\.........\.......\...\usb_irq.c
.................\............\.........\.......\...\usb_irq.h
.................\............\.........\.......\cb_generator.pl
.................\............\.........\.......\class.ptf
.................\............\.........\SEG7_LUT_8
.................\............\.........\..........\hdl
.................\............\.........\..........\...\SEG7_LUT.v
.................\............\.........\..........\...\SEG7_LUT_8.v
.................\............\.........\..........\inc
.................\............\.........\..........\...\basic_io.h
.................\............\.........\..........\cb_generator.pl
.................\............\.........\..........\class.ptf
.................\............\.........\SRAM_16Bit_512K
.................\............\.........\...............\hdl
.................\............\.........\...............\...\SRAM_16Bit_512K.v
.................\............\.........\...............\cb_generator.pl
.................\............\.........\...............\class.ptf
.................\............\Reference_Design
.................\............\................\DE2_NIOS
.................\............\................\........\.sopc_builder
.................\............\................\........\.............\install.ptf
.................\............\................\........\Audio_DAC_FIFO
.................\............\................\........\..............\hdl
.................\............\................\........\..............\...\AUDIO_DAC_FIFO.v
.................\............\................\........\..............\...\FIFO_16_256.v
.................\............\................\........\..............\cb_generator.pl
.................\............\................\........\..............\class.ptf
.................\............\................\........\Binary_VGA_Controller
.................\............\................\........\.....................\hdl
.................\............\................\........\.....................\...\Img_DATA.hex
.................\............\................\........\.....................\...\Img_RAM.v
.................\............\................\........\.....................\...\VGA_Controller.v
.................\............\................\........\.....................\...\VGA_NIOS_CTRL.v
.................\............\................\........\.....................\...\VGA_OSD_RAM.v
.................\............\................\........\.....................\...\VGA_Param.h
.................\............\................\........\.....................\inc
.................\............\................\........\.....................\...\VGA.c
.................\............\................\........\.....................\...\VGA.h
.................\............\................\........\.....................\cb_generator.pl
.................\............\................\........\.....................\class.ptf
.................\............\................\........\DE2_Board
.................\............\................\........\.........\system
.................\............\................\........\.........\......\.sopc_builder
.................\............\................\........\.........\......\.............\install.ptf
.................\............\................\........\.........\......\DE2_Board_sim
.................\............\................\........\.........\......\.............\atail-f.pl
.................\............\................\........\.........\......\.............\contents_file_warning.txt
.................\............\................\........\.........\......\.............\jtag_uart_0_input_mutex.dat
.................\............\................\........\.........\......\.............\jtag_uart_0_input_stream.dat
.................\............\................\........\.........\......\.............\jtag_uart_0_output_stream.dat
.................\............\................\........\.........\......\asmi.v
.................\............\................\........\.........\......\cmp_state.ini
.................\............\................\........\.........\......\cpu_0.ocp
.................\............\................\........\.........\......\cpu_0.v
.................\............\................\........\.........\......\cpu_0_test_bench.v
.................\............\................\........\.........\......\data_RAM.hex
.................\............\................\........\.........\......\data_RAM.v
.................\............\................\........\.........\......\DE2_Board.asm.rpt
.................\............\................\........\.........\......\DE2_Board.bsf
.................\............\................\........\.........\......\DE2_Board.cdf
.................\............\................\........\.........\......\DE2_Board.done
.................\............\................\........\.........\......\DE2_Board.fit.eqn
.................\............\................\........\.........\......\DE2_Board.fit.rpt
.................\............\................\........\.........\......\DE2_Board.fit.summary
.................\............\................\........\.........\......\DE2_Board.flow.rpt
.................\............\................\........\.........\......\DE2_Board.map.eqn
.................\............\................\........\.........\......\DE2_Board.map.rpt
.................\............\................\........\.........\......\DE2_Board.map.summary
.................\............\................\........\.........\......\DE2_Board.pin
.................\............\................\........\.........\......\DE2_Board.pof
.................\............\................\........\.........\......\DE2_Board.ptf
.................\............\................\........\.........\......\DE2_Board.ptf.5.00
.................\............\................\........\.........\......\DE2_Board.ptf.bak
.................\............\................\........\.........\......\DE2_Board.qpf
.................\............\................\........\.........\......\DE2_Board.qsf
.................\............\................\........\.........\......\DE2_Board.qws
.................\............\................\........\.........\......\DE2_Board.sof
.................\............\................\........\.........\......\DE2_Board.tan.rpt
.................\............\................\........\.........\......\DE2_Board.tan.summary
.................\............\................\........\.........\......\DE2_Board.v
.................\............\................\........\.........\......\DE2_Board_assignment_defaults.qdf
.................\............\................\........\.........\......\DE2_Board_generation_script
.................\............\................\........\.........\......\DE2_Board_log.txt
.................\............\................\........\.........\......\DE2_Board_setup_quartus.tcl
.................\............\................\........\.........\......\DE2_Board_top.bdf
.................\............\................\........\.........\......\delay_reset_block.bdf
.................\............\................\........\.........\......\firmware_ROM.hex
.................\............\................\........\.........\......\firmware_ROM.v
.................\............\................\........\.........\......\jtag_uart_0.v
.................\............\................\........\.........\......\payload_buffer.hex
.................\............\................\........\.........\......\payload_buffer.v
.................\............\................\........\.........\......\reset_counter.v
.................\............\................\........\.........\......\rf_ram.mif
.................\............\................\........\.........\......\sopc_builder_debug_log.txt
.................\............\................\........\.........\......\sysid.v
.................\............\................\........\.........\class.ptf
.................\............\................\........\DM9000A
.................\............\................\........\.......\hdl
.................\............\................\........\.......\...\DM9000A_IF.v
.................\............\................\........\.......\inc
.................\............\................\........\.......\...\basic_io.h
.................\............\................\........\.......\...\DM9000A.C
.................\............\................\........\.......\...\DM9000A.H
.................\............\................\........\.......\cb_generator.pl
.................\............\................\........\.......\class.ptf
.................\............\................\........\ISP1362
.................\............\................\........\.......\hdl
.................\............\................\........\.......\...\ISP1362_IF.v
.................\............\................\........\.......\inc
.................\............\................\........\.......\...\BASICTYP.H
.................\............\................\........\.......\...\COMMON.H
.................\............\................\........\.......\...\HAL4D13.C
.................\............\................\........\.......\...\HAL4D13.H
.................\............\................\........\.......\...\usb_irq.c
.................\............\................\........\.......\...\usb_irq.h
.................\............\................\........\.......\cb_generator.pl
.................\............\................\........\.......\class.ptf
.................\............\................\........\SEG7_LUT_8
.................\............\................\........\..........\hdl
.................\............\................\........\..........\...\SEG7_LUT.v
.................\............\................\........\..........\...\SEG7_LUT_8.v
.................\............\................\........\..........\inc
.................\............\................\........\..........\...\basic_io.h
.................\............\................\........\..........\cb_generator.pl
.................\............\................\........\..........\class.ptf
.................\............\................\........\SRAM_16Bit_512K
.................\............\................\........\...............\hdl
.................\............\................\........\...............\...\SRAM_16Bit_512K.v
.................\............\................\........\...............\cb_generator.pl
.................\............\................\........\...............\class.ptf
.................\............\................\........\system_0_sim
.................\............\................\........\............\atail-f.pl
.................\............\................\........\............\jtag_uart_0_input_mutex.dat
.................\............\................\........\............\jtag_uart_0_input_stream.dat
.................\............\................\........\............\jtag_uart_0_output_stream.dat
.................\............\................\........\............\uart_0_input_data_mutex.dat
.................\............\................\........\............\uart_0_input_data_stream.dat
.................\............\................\........\............\uart_0_log_module.txt
.................\............\................\........\altpllpll_0.ppf
.................\............\................\........\Audio_0.v
.................\............\................\........\AUDIO_DAC_FIFO.v
.................\............\................\........\Audio_PLL.ppf
.................\............\................\........\Audio_PLL.v
.................\............\................\........\bht_ram.mif
.................\............\................\........\button_pio.v
.................\............\................\........\clock_0.v
.................\............\................\........\clock_1.v
.................\............\................\........\cmp_state.ini
.................\............\................\........\cpu_0.ocp
.................\............\................\........\cpu_0.v
.................\............\................\........\cpu_0.vo
.................\............\................\........\cpu_0_bht_ram.mif
.................\............\................\........\cpu_0_dc_tag_ram.mif
.................\............\................\........\cpu_0_ic_tag_ram.mif
.................\............\................\........\cpu_0_jtag_debug_module.v
.................\............\................\........\cpu_0_jtag_debug_module_wrapper.v
.................\............\................\........\cpu_0_mult_cell.v
.................\............\................\........\cpu_0_ociram_default_contents.mif
.................\............\................\........\cpu_0_rf_ram_a.mif
.................\............\................\........\cpu_0_rf_ram_b.mif
.................\............\................\........\cpu_0_test_bench.v
.................\............\................\........\dc_tag_ram.mif
.................\............\................\........\DE2_NIOS.asm.rpt
.................\............\................\........\DE2_NIOS.done
.................\............\................\........\DE2_NIOS.fit.rpt
.................\............\................\........\DE2_NIOS.fit.smsg
.................\............\................\........\DE2_NIOS.fit.summary
.................\............\................\........\DE2_NIOS.flow.rpt
.................\............\................\........\DE2_NIOS.map.rpt
.................\............\................\........\DE2_NIOS.map.smsg
.................\............\................\........\DE2_NIOS.map.summary
.................\............\................\........\DE2_NIOS.pin
.................\............\................\........\DE2_NIOS.pof
.................\............\................\........\DE2_NIOS.qpf
.................\............\................\........\DE2_NIOS.qsf
.................\............\................\........\DE2_NIOS.qws
.................\............\................\........\DE2_NIOS.sof
.................\............\................\........\DE2_NIOS.tan.rpt
.................\............\................\........\DE2_NIOS.tan.summary
.................\............\................\........\DE2_NIOS.v
.................\............\................\........\DM9000A.v
.................\............\................\........\DM9000A_IF.v
.................\............\................\........\epcs_controller.v
.................\............\................\........\epcs_controller_boot_rom.hex
.................\............\................\........\FIFO_16_256.v
.................\............\................\........\I2C_AV_Config.v
.................\............\................\........\I2C_Controller.v
.................\............\................\........\ic_tag_ram.mif
.................\............\................\........\Img_DATA.hex
.................\............\................\........\Img_RAM.v
.................\............\................\........\ISP1362.v
.................\............\................\........\ISP1362_IF.v
.................\............\................\........\jtag_uart_0.v
.................\............\................\........\lcd_16207_0.v
.................\............\................\........\led_green.v
.................\............\................\........\led_red.v
.................\............\................\........\Reset_Delay.v
.................\............\................\........\rf_ram_a.mif
.................\............\................\........\rf_ram_b.mif
.................\............\................\........\sdram_0.v
.................\............\................\........\sdram_0_test_component.v
.................\............\................\........\SDRAM_PLL.ppf
.................\............\................\........\SDRAM_PLL.v
.................\............\................\........\SD_CLK.v
.................\............\................\........\SD_CMD.v
.................\............\................\........\SD_DAT.v
.................\............\................\........\SEG7_Display.v
.................\............\................\........\SEG7_LUT.v
.................\............\................\........\SEG7_LUT_8.v
.................\............\................\........\sopc_builder_debug_log.txt
.................\............\................\........\sram_0.v
.................\............\................\........\SRAM_16Bit_512K.v
.................\............\................\........\switch_pio.v
.................\............\................\........\system_0.bsf
.................\............\................\........\system_0.ptf
.................\............\................\........\system_0.ptf.bak
.................\............\................\........\system_0.v
.................\............\................\........\system_0_generation_script
.................\............\................\........\system_0_log.txt
.................\............\................\........\system_0_setup_quartus.tcl
.................\............\................\........\timer_0.v
.................\............\................\........\timer_1.v
.................\............\................\........\uart_0.v
.................\............\................\........\VGA_0.v
.................\............\................\........\VGA_Controller.v
.................\............\................\........\VGA_NIOS_CTRL.v
.................\............\................\........\VGA_OSD_RAM.v
.................\............\................\........\VGA_Param.h
.................\............\Target_Board
.................\............\............\DE2_Board
.................\............\............\.........\system
.................\............\............\.........\......\.sopc_builder
.................\............\............\.........\......\.............\install.ptf
.................\............\............\.........\......\DE2_Board_sim
.................\............\............\.........\......\.............\atail-f.pl
.................\............\............\.........\......\.............\contents_file_warning.txt
.................\............\............\.........\......\.............\jtag_uart_0_input_mutex.dat
.................\............\............\.........\......\.............\jtag_uart_0_input_stream.dat
.................\............\............\.........\......\.............\jtag_uart_0_output_stream.dat
.................\............\............\.........\......\asmi.v
.................\............\............\.........\......\cmp_state.ini
.................\............\............\.........\......\cpu_0.ocp
.................\............\............\.........\......\cpu_0.v
.................\............\............\.........\......\cpu_0_test_bench.v
.................\............\............\.........\......\data_RAM.hex
.................\............\............\.........\......\data_RAM.v
.................\............\............\.........\......\DE2_Board.asm.rpt
.................\............\............\.........\......\DE2_Board.bsf
.................\............\............\.........\......\DE2_Board.cdf
.................\............\............\.........\......\DE2_Board.done
.................\............\............\.........\......\DE2_Board.fit.eqn
.................\............\............\.........\......\DE2_Board.fit.rpt
.................\............\............\.........\......\DE2_Board.fit.summary
.................\............\............\.........\......\DE2_Board.flow.rpt
.................\............\............\.........\......\DE2_Board.map.eqn
.................\............\............\.........\......\DE2_Board.map.rpt
.................\............\............\.........\......\DE2_Board.map.summary
.................\............\............\.........\......\DE2_Board.pin
.................\............\............\.........\......\DE2_Board.pof
.................\............\............\.........\......\DE2_Board.ptf
.................\............\............\.........\......\DE2_Board.ptf.5.00
.................\............\............\.........\......\DE2_Board.ptf.bak
.................\............\............\.........\......\DE2_Board.qpf
.................\............\............\.........\......\DE2_Board.qsf
.................\............\............\.........\......\DE2_Board.qws
.................\............\............\.........\......\DE2_Board.sof
.................\............\............\.........\......\DE2_Board.tan.rpt
.................\............\............\.........\......\DE2_Board.tan.summary
.................\............\............\.........\......\DE2_Board.v
.................\............\............\.........\......\DE2_Board_assignment_defaults.qdf
.................\............\............\.........\......\DE2_Board_generation_script
.................\............\............\.........\......\DE2_Board_log.txt
.................\............\............\.........\......\DE2_Board_setup_quartus.tcl
.................\............\............\.........\......\DE2_Board_top.bdf
.................\............\............\.........\......\delay_reset_block.bdf
.................\............\............\.........\......\firmware_ROM.hex
.................\............\............\.........\......\firmware_ROM.v
.................\............\............\.........\......\jtag_uart_0.v
.................\............\............\.........\......\payload_buffer.hex
.................\............\............\.........\......\payload_buffer.v
.................\............\............\.........\......\reset_counter.v
.................\............\............\.........\......\rf_ram.mif
.................\............\............\.........\......\sopc_builder_debug_log.txt
.................\............\............\.........\......\sysid.v
.................\............\............\.........\class.ptf
이것은 높은 품질의 소스 코드입니다,당신이 회원 인 경우, 로그인하십시오. 당신은 회원이 아닌 경우, 등록하시기 바랍니다 .
관련 소스코드
다운로드 주소
download DownLoad
Comments: 다운로드 후 값매기다를 잊지 마세요! Comment...
About - Advertise - Sitemap