베릴로그에 ofdm 구현(translate from):ofdm implementation in Verilog
File list:
DOCS
...\FYP Final report.pdf
RTL
..\adder1.v
..\async_receiver.v
..\async_transmitter.v
..\bf2i.v
..\bf2ii.v
..\complex1.v
..\const_demapper.v
..\const_mapper.v
..\ControlUnit.v
..\convolution.v
..\counter.v
..\COUNTER_2_BIT.v
..\counter_6_bit.v
..\cyclic_prefix.v
..\DeInterleaver.v
..\Descrambler.v
..\fft_processor.v
..\GF_multiply_sum.v
..\ifft.v
..\ifft_controlunit.v
..\ifft_processor.v
..\Interleaver.v
..\multiplier.v
..\MUX_2_1.v
..\OFDMSystem.v
..\OFDM_receiver.v
..\OFDM_transmitter.v
..\Receiver_control_unit.v
..\Redundancy.v
..\ReedSolomon_Encoder.v
..\rsdec_controlunit.v
..\Scrambler.v
..\s_reg_par_load_4.v
..\twiddle_gen.v
..\twiddle_gen_fft.v
..\viterbi_controlunit.v
SIM
..\rsdec_test.v
..\stim.v
..\stimulus.v
..\stimulus_conv.v
..\stimulus_GF.v
..\stimulus_ReedSolomon.v
..\stimulus_reg.v
..\stim_baud.v
..\stim_constmap.v
..\stim_counter.v
..\stim_cyclicprefix.v
..\stim_fifo.v
..\stim_ifft.v
..\stim_interleaver.v
..\stim_ofdm_trans.v
..\stim_red.v
..\stim_testserial.v
..\stim_transfifo.v
..\stim_transmitter.v
..\test.v
..\testadder.v
..\testbaud.v
..\test_async_trans.v
..\test_ofdm_receiver.v
..\test_serial.v
..\test_serialtrans.v
..\test_trans.v
..\test_twiddle.v
..\toplLevelTestBench.v
..\viterbi_test.v
TECH
...\ReedSolomonDecoder
...\..................\block_period_stim.txt
...\..................\inv_4_19.hex
...\..................\rsdec.bsf
...\..................\rsdec.html
...\..................\rsdec.qip
...\..................\rsdec.v
...\..................\rsdec.vo
...\..................\rsdec_bb.v
...\..................\rsdec_gb.v
...\..................\rsdec_nativelink.tcl
...\..................\rsdec_testbench.v
...\..................\rsdec_vsim_script.tcl
...\..................\rs_encoded_data.txt
...\ReedSolomon_decoder
...\...................\altera_mf
...\...................\.........\@a@l@t@e@r@a_@d@e@v@i@c@e_@f@a@m@i@l@i@e@s
...\...................\.........\..........................................\verilog.asm
...\...................\.........\..........................................\_primary.dat
...\...................\.........\..........................................\_primary.vhd
...\...................\.........\@a@l@t@e@r@a_@m@f_@h@i@n@t_@e@v@a@l@u@a@t@i@o@n
...\...................\.........\...............................................\verilog.asm
...\...................\.........\...............................................\_primary.dat
...\...................\.........\...............................................\_primary.vhd
...\...................\.........\@a@l@t@e@r@a_@m@f_@m@e@m@o@r@y_@i@n@i@t@i@a@l@i@z@a@t@i@o@n
...\...................\.........\...........................................................\verilog.asm
...\...................\.........\...........................................................\_primary.dat
...\...................\.........\...........................................................\_primary.vhd
...\...................\.........\@m@f_cycloneiii_pll
...\...................\.........\...................\verilog.asm
...\...................\.........\...................\_primary.dat
...\...................\.........\...................\_primary.vhd
...\...................\.........\@m@f_pll_reg
...\...................\.........\............\verilog.asm
...\...................\.........\............\_primary.dat
...\...................\.........\............\_primary.vhd
...\...................\.........\@m@f_ram7x20_syn
...\...................\.........\................\verilog.asm
...\...................\.........\................\_primary.dat
...\...................\.........\................\_primary.vhd
...\...................\.........\@m@f_stratixiii_pll
...\...................\.........\...................\verilog.asm
...\...................\.........\...................\_primary.dat
...\...................\.........\...................\_primary.vhd
...\...................\.........\@m@f_stratixii_pll
...\...................\.........\..................\verilog.asm
...\...................\.........\..................\_primary.dat
...\...................\.........\..................\_primary.vhd
...\...................\.........\@m@f_stratix_pll
...\...................\.........\................\verilog.asm
...\...................\.........\................\_primary.dat
...\...................\.........\................\_primary.vhd
...\...................\.........\alt3pram
...\...................\.........\........\verilog.asm
...\...................\.........\........\_primary.dat
...\...................\.........\........\_primary.vhd
...\...................\.........\altaccumulate
...\...................\.........\.............\verilog.asm
...\...................\.........\.............\_primary.dat
...\...................\.........\.............\_primary.vhd
...\...................\.........\altcam
...\...................\.........\......\verilog.asm
...\...................\.........\......\_primary.dat
...\...................\.........\......\_primary.vhd
...\...................\.........\altcdr_rx
...\...................\.........\.........\verilog.asm
...\...................\.........\.........\_primary.dat
...\...................\.........\.........\_primary.vhd
...\...................\.........\altcdr_tx
...\...................\.........\.........\verilog.asm
...\...................\.........\.........\_primary.dat
...\...................\.........\.........\_primary.vhd
...\...................\.........\altclklock
...\...................\.........\..........\verilog.asm
...\...................\.........\..........\_primary.dat
...\...................\.........\..........\_primary.vhd
...\...................\.........\altddio_bidir
...\...................\.........\.............\verilog.asm
...\...................\.........\.............\_primary.dat
...\...................\.........\.............\_primary.vhd
...\...................\.........\altddio_in
...\...................\.........\..........\verilog.asm
...\...................\.........\..........\_primary.dat
...\...................\.........\..........\_primary.vhd
...\...................\.........\altddio_out
...\...................\.........\...........\verilog.asm
...\...................\.........\...........\_primary.dat
...\...................\.........\...........\_primary.vhd
...\...................\.........\altdpram
...\...................\.........\........\verilog.asm
...\...................\.........\........\_primary.dat
...\...................\.........\........\_primary.vhd
...\...................\.........\altfp_mult
...\...................\.........\..........\verilog.asm
...\...................\.........\..........\_primary.dat
...\...................\.........\..........\_primary.vhd
...\...................\.........\altlvds_rx
...\...................\.........\..........\verilog.asm
...\...................\.........\..........\_primary.dat
...\...................\.........\..........\_primary.vhd
...\...................\.........\altlvds_tx
...\...................\.........\..........\verilog.asm
...\...................\.........\..........\_primary.dat
...\...................\.........\..........\_primary.vhd
...\...................\.........\altmult_accum
...\...................\.........\.............\verilog.asm
...\...................\.........\.............\_primary.dat
...\...................\.........\.............\_primary.vhd
...\...................\.........\altmult_add
...\...................\.........\...........\verilog.asm
...\...................\.........\...........\_primary.dat
...\...................\.........\...........\_primary.vhd
...\...................\.........\altparallel_flash_loader
...\...................\.........\........................\verilog.asm
...\...................\.........\........................\_primary.dat
...\...................\.........\........................\_primary.vhd
...\...................\.........\altpll
...\...................\.........\......\verilog.asm
...\...................\.........\......\_primary.dat
...\...................\.........\......\_primary.vhd
...\...................\.........\altqpram
...\...................\.........\........\verilog.asm
...\...................\.........\........\_primary.dat
...\...................\.........\........\_primary.vhd
...\...................\.........\altserial_flash_loader
...\...................\.........\......................\verilog.asm
...\...................\.........\......................\_primary.dat
...\...................\.........\......................\_primary.vhd
...\...................\.........\altshift_taps
...\...................\.........\.............\verilog.asm
...\...................\.........\.............\_primary.dat
...\...................\.........\.............\_primary.vhd
...\...................\.........\altsqrt
...\...................\.........\.......\verilog.asm
...\...................\.........\.......\_primary.dat
...\...................\.........\.......\_primary.vhd
...\...................\.........\altsquare
...\...................\.........\.........\verilog.asm
...\...................\.........\.........\_primary.dat
...\...................\.........\.........\_primary.vhd
...\...................\.........\altstratixii_oct
...\...................\.........\................\verilog.asm
...\...................\.........\................\_primary.dat
...\...................\.........\................\_primary.vhd
...\...................\.........\altsyncram
...\...................\.........\..........\verilog.asm
...\...................\.........\..........\_primary.dat
...\...................\.........\..........\_primary.vhd
...\...................\.........\arm_m_cntr
...\...................\.........\..........\verilog.asm
...\...................\.........\..........\_primary.dat
...\...................\.........\..........\_primary.vhd
...\...................\.........\arm_n_cntr
...\...................\.........\..........\verilog.asm
...\...................\.........\..........\_primary.dat
...\...................\.........\..........\_primary.vhd
...\...................\.........\arm_scale_cntr
...\...................\.........\..............\verilog.asm
...\...................\.........\..............\_primary.dat
...\...................\.........\..............\_primary.vhd
...\...................\.........\a_graycounter
...\...................\.........\.............\verilog.asm
...\...................\.........\.............\_primary.dat
...\...................\.........\.............\_primary.vhd
...\...................\.........\cda_m_cntr
...\...................\.........\..........\verilog.asm
...\...................\.........\..........\_primary.dat
...\...................\.........\..........\_primary.vhd
...\...................\.........\cda_n_cntr
...\...................\.........\..........\verilog.asm
...\...................\.........\..........\_primary.dat
...\...................\.........\..........\_primary.vhd
...\...................\.........\cda_scale_cntr
...\...................\.........\..............\verilog.asm
...\...................\.........\..............\_primary.dat
...\...................\.........\..............\_primary.vhd
...\...................\.........\dcfifo
...\...................\.........\......\verilog.asm
...\...................\.........\......\_primary.dat
...\...................\.........\......\_primary.vhd
...\...................\.........\dcfifo_async
...\...................\.........\............\verilog.asm
...\...................\.........\............\_primary.dat
...\...................\.........\............\_primary.vhd
...\...................\.........\dcfifo_dffpipe
...\...................\.........\..............\verilog.asm
...\...................\.........\..............\_primary.dat
...\...................\.........\..............\_primary.vhd
...\...................\.........\dcfifo_fefifo
...\...................\.........\.............\verilog.asm
...\...................\.........\.............\_primary.dat
...\...................\.........\.............\_primary.vhd
...\...................\.........\dcfifo_low_latency
...\...................\.........\..................\verilog.asm
...\...................\.........\..................\_primary.dat
...\...................\.........\..................\_primary.vhd
...\...................\.........\dcfifo_mixed_widths
...\...................\.........\...................\verilog.asm
...\...................\.........\...................\_primary.dat
...\...................\.........\...................\_primary.vhd
...\...................\.........\dcfifo_sync
...\...................\.........\...........\verilog.asm
...\...................\.........\...........\_primary.dat
...\...................\.........\...........\_primary.vhd
...\...................\.........\dffp
...\...................\.........\....\verilog.asm
...\...................\.........\....\_primary.dat
...\...................\.........\....\_primary.vhd
...\...................\.........\dummy_hub
...\...................\.........\.........\verilog.asm
...\...................\.........\.........\_primary.dat
...\...................\.........\.........\_primary.vhd
...\...................\.........\flexible_lvds_rx
...\...................\.........\................\verilog.asm
...\...................\.........\................\_primary.dat
...\...................\.........\................\_primary.vhd
...\...................\.........\flexible_lvds_tx
...\...................\.........\................\verilog.asm
...\...................\.........\................\_primary.dat
...\...................\.........\................\_primary.vhd
...\...................\.........\hssi_fifo
...\...................\.........\.........\verilog.asm
...\...................\.........\.........\_primary.dat
...\...................\.........\.........\_primary.vhd
...\...................\.........\hssi_pll
...\...................\.........\........\verilog.asm
...\...................\.........\........\_primary.dat
...\...................\.........\........\_primary.vhd
...\...................\.........\hssi_rx
...\...................\.........\.......\verilog.asm
...\...................\.........\.......\_primary.dat
...\...................\.........\.......\_primary.vhd
...\...................\.........\hssi_tx
...\...................\.........\.......\verilog.asm
...\...................\.........\.......\_primary.dat
...\...................\.........\.......\_primary.vhd
...\...................\.........\jtag_tap_controller
...\...................\.........\...................\verilog.asm
...\...................\.........\...................\_primary.dat
...\...................\.........\...................\_primary.vhd
...\...................\.........\lcell
...\...................\.........\.....\verilog.asm
...\...................\.........\.....\_primary.dat
...\...................\.........\.....\_primary.vhd
...\...................\.........\parallel_add
...\...................\.........\............\verilog.asm
...\...................\.........\............\_primary.dat
...\...................\.........\............\_primary.vhd
...\...................\.........\pll_iobuf
...\...................\.........\.........\verilog.asm
...\...................\.........\.........\_primary.dat
...\...................\.........\.........\_primary.vhd
...\...................\.........\scfifo
...\...................\.........\......\verilog.asm
...\...................\.........\......\_primary.dat
...\...................\.........\......\_primary.vhd
...\...................\.........\signal_gen
...\...................\.........\..........\verilog.asm
...\...................\.........\..........\_primary.dat
...\...................\.........\..........\_primary.vhd
...\...................\.........\sld_signaltap
...\...................\.........\.............\verilog.asm
...\...................\.........\.............\_primary.dat
...\...................\.........\.............\_primary.vhd
...\...................\.........\sld_virtual_jtag
...\...................\.........\................\verilog.asm
...\...................\.........\................\_primary.dat
...\...................\.........\................\_primary.vhd
...\...................\.........\stratixgx_dpa_lvds_rx
...\...................\.........\.....................\verilog.asm
...\...................\.........\.....................\_primary.dat
...\...................\.........\.....................\_primary.vhd
...\...................\.........\stratixiii_lvds_rx
...\...................\.........\..................\verilog.asm
...\...................\.........\..................\_primary.dat
...\...................\.........\..................\_primary.vhd
...\...................\.........\stratixiii_lvds_rx_channel
...\...................\.........\..........................\verilog.asm
...\...................\.........\..........................\_primary.dat
...\...................\.........\..........................\_primary.vhd
...\...................\.........\stratixiii_lvds_rx_dpa
...\...................\.........\......................\verilog.asm
...\...................\.........\......................\_primary.dat
...\...................\.........\......................\_primary.vhd
...\...................\.........\stratixii_lvds_rx
...\...................\.........\.................\verilog.asm
...\...................\.........\.................\_primary.dat
...\...................\.........\.................\_primary.vhd
...\...................\.........\stratixii_tx_outclk
...\...................\.........\...................\verilog.asm
...\...................\.........\...................\_primary.dat
...\...................\.........\...................\_primary.vhd
...\...................\.........\stratix_lvds_rx
...\...................\.........\...............\verilog.asm
...\...................\.........\...............\_primary.dat
...\...................\.........\...............\_primary.vhd
...\...................\.........\stratix_tx_outclk
...\...................\.........\.................\verilog.asm
...\...................\.........\.................\_primary.dat
...\...................\.........\.................\_primary.vhd
...\...................\.........\stx_m_cntr
...\...................\.........\..........\verilog.asm
...\...................\.........\..........\_primary.dat
...\...................\.........\..........\_primary.vhd
...\...................\.........\stx_n_cntr
...\...................\.........\..........\verilog.asm
...\...................\.........\..........\_primary.dat
...\...................\.........\..........\_primary.vhd
...\...................\.........\stx_scale_cntr
...\...................\.........\..............\verilog.asm
...\...................\.........\..............\_primary.dat
...\...................\.........\..............\_primary.vhd
...\...................\.........\ttn_m_cntr
...\...................\.........\..........\verilog.asm
...\...................\.........\..........\_primary.dat
...\...................\.........\..........\_primary.vhd
...\...................\.........\ttn_n_cntr
...\...................\.........\..........\verilog.asm
...\...................\.........\..........\_primary.dat
...\...................\.........\..........\_primary.vhd
...\...................\.........\ttn_scale_cntr
...\...................\.........\..............\verilog.asm
...\...................\.........\..............\_primary.dat
...\...................\.........\..............\_primary.vhd
...\...................\.........\_info
...\...................\iptb_reed_solomon_temp44576
...\...................\...........................\simgen
...\...................\...........................\......\db
...\...................\...........................\......\..\altsyncram_1gr2.tdf
...\...................\...........................\......\..\altsyncram_fef3.tdf
...\...................\...........................\......\..\altsyncram_lfr2.tdf
...\...................\...........................\......\..\rsdec.cbx.xml
...\...................\...........................\......\..\rsdec.cmp.rdb
...\...................\...........................\......\..\rsdec.dbp
...\...................\...........................\......\..\rsdec.db_info
...\...................\...........................\......\..\rsdec.hier_info
...\...................\...........................\......\..\rsdec.map.qmsg
...\...................\...........................\......\..\rsdec.pre_map.cdb
...\...................\...........................\......\..\rsdec.pre_map.hdb
...\...................\...........................\......\..\rsdec.psp
...\...................\...........................\......\..\rsdec.pss
...\...................\...........................\......\..\rsdec.rtlv.hdb
...\...................\...........................\......\..\rsdec.rtlv_sg.cdb
...\...................\...........................\......\..\rsdec.rtlv_sg_swap.cdb
...\...................\...........................\......\..\rsdec.sld_design_entry_dsc.sci
...\...................\...........................\......\..\rsdec.tis_db_list.ddb
...\...................\...........................\......\quartus_simgen.tcl
...\...................\...........................\......\rsdec.qpf
...\...................\...........................\......\rsdec.qsf
...\...................\...........................\symbol
...\...................\...........................\......\db
...\...................\...........................\......\..\tempproject.db_info
...\...................\...........................\......\symbol_project.tcl
...\...................\...........................\......\tempproject.qpf
...\...................\...........................\......\tempproject.qsf
...\...................\lib
...\...................\...\ip_toolbench
...\...................\...\............\reed_solomon.jar
...\...................\...\............\wizard.ptf
...\...................\...\.recurs
...\...................\...\auk_rs_bms_atl_arc_ful_era_rtl.vhd
...\...................\...\auk_rs_bms_atl_arc_ful_rtl.vhd
...\...................\...\auk_rs_bms_atl_arc_hal_era_rtl.vhd
...\...................\...\auk_rs_bms_atl_arc_hal_rtl.vhd
...\...................\...\auk_rs_bms_atl_ent.vhd
...\...................\...\auk_rs_chn_atl_arc_rtl.vhd
...\...................\...\auk_rs_chn_atl_ent.vhd
...\...................\...\auk_rs_dec_top_atl.ocp
...\...................\...\auk_rs_dec_top_atl_arc_rtl.vhd
...\...................\...\auk_rs_dec_top_atl_ent.vhd
...\...................\...\auk_rs_enc_top_atl.ocp
...\...................\...\auk_rs_enc_top_atl_arc_rtl.vhd
...\...................\...\auk_rs_enc_top_atl_ent.vhd
...\...................\...\auk_rs_fun_pkg.vhd
...\...................\...\auk_rs_gfdiv_arc_rtl.vhd
...\...................\...\auk_rs_gfdiv_ent.vhd
...\...................\...\auk_rs_gfmul_arc_rtl.vhd
...\...................\...\auk_rs_gfmul_cnt_arc_rtl.vhd
...\...................\...\auk_rs_gfmul_cnt_ent.vhd
...\...................\...\auk_rs_gfmul_ent.vhd
...\...................\...\auk_rs_mem_atl_arc_rtl.vhd
...\...................\...\auk_rs_mem_atl_ent.vhd
...\...................\...\auk_rs_syn_atl_arc_rtl.vhd
...\...................\...\auk_rs_syn_atl_ent.vhd
...\...................\...\Bench_rs_dec_atl.v
...\...................\...\Bench_rs_dec_atl_arc_ben.vhd
...\...................\...\Bench_rs_dec_atl_ent.vhd
...\...................\...\Bench_rs_enc_atl.v
...\...................\...\Bench_rs_enc_atl_arc_ben.vhd
...\...................\...\Bench_rs_enc_atl_ent.vhd
...\...................\...\generic_nativelink.tcl
...\...................\...\generic_vsim_script.tcl
...\...................\...\reed_solomon_wizard.lst
...\...................\...\rs_dec_atl_tb.v
...\...................\...\rs_dec_atl_tb.vhd
...\...................\...\rs_dec_atl_tb_template.v
...\...................\...\rs_dec_atl_tb_template.vhd
...\...................\...\rs_enc_atl_tb.v
...\...................\...\rs_enc_atl_tb.vhd
...\...................\...\rs_enc_atl_tb_template.v
...\...................\...\rs_enc_atl_tb_template.vhd
...\...................\...\wizard.xml
...\...................\lpm
...\...................\...\@l@p@m_@d@e@v@i@c@e_@f@a@m@i@l@i@e@s
...\...................\...\....................................\verilog.asm
...\...................\...\....................................\_primary.dat
...\...................\...\....................................\_primary.vhd
...\...................\...\@l@p@m_@h@i@n@t_@e@v@a@l@u@a@t@i@o@n
...\...................\...\....................................\verilog.asm
...\...................\...\....................................\_primary.dat
...\...................\...\....................................\_primary.vhd
...\...................\...\@l@p@m_@m@e@m@o@r@y_@i@n@i@t@i@a@l@i@z@a@t@i@o@n
...\...................\...\................................................\verilog.asm
...\...................\...\................................................\_primary.dat
...\...................\...\................................................\_primary.vhd
...\...................\...\lpm_abs
...\...................\...\.......\verilog.asm
...\...................\...\.......\_primary.dat
...\...................\...\.......\_primary.vhd
...\...................\...\lpm_add_sub
...\...................\...\...........\verilog.asm
...\...................\...\...........\_primary.dat
...\...................\...\...........\_primary.vhd
...\...................\...\lpm_and
...\...................\...\.......\verilog.asm
...\...................\...\.......\_primary.dat
...\...................\...\.......\_primary.vhd
...\...................\...\lpm_bipad
...\...................\...\.........\verilog.asm
...\...................\...\.........\_primary.dat
...\...................\...\.........\_primary.vhd
...\...................\...\lpm_bustri
...\...................\...\..........\verilog.asm
...\...................\...\..........\_primary.dat
...\...................\...\..........\_primary.vhd
...\...................\...\lpm_clshift
...\...................\...\...........\verilog.asm
...\...................\...\...........\_primary.dat
...\...................\...\...........\_primary.vhd
...\...................\...\lpm_compare
...\...................\...\...........\verilog.asm
...\...................\...\...........\_primary.dat
...\...................\...\...........\_primary.vhd
...\...................\...\lpm_constant
...\...................\...\............\verilog.asm
...\...................\...\............\_primary.dat
...\...................\...\............\_primary.vhd
...\...................\...\lpm_counter
...\...................\...\...........\verilog.asm
...\...................\...\...........\_primary.dat
...\...................\...\...........\_primary.vhd
...\...................\...\lpm_decode
...\...................\...\..........\verilog.asm
...\...................\...\..........\_primary.dat
...\...................\...\..........\_primary.vhd
...\...................\...\lpm_divide
...\...................\...\..........\verilog.asm
...\...................\...\..........\_primary.dat
...\...................\...\..........\_primary.vhd
...\...................\...\lpm_ff
...\...................\...\......\verilog.asm
...\...................\...\......\_primary.dat
...\...................\...\......\_primary.vhd
...\...................\...\lpm_fifo
...\...................\...\........\verilog.asm
...\...................\...\........\_primary.dat
...\...................\...\........\_primary.vhd
...\...................\...\lpm_fifo_dc
...\...................\...\...........\verilog.asm
...\...................\...\...........\_primary.dat
...\...................\...\...........\_primary.vhd
...\...................\...\lpm_fifo_dc_async
...\...................\...\.................\verilog.asm
...\...................\...\.................\_primary.dat
...\...................\...\.................\_primary.vhd
...\...................\...\lpm_fifo_dc_dffpipe
...\...................\...\...................\verilog.asm
...\...................\...\...................\_primary.dat
...\...................\...\...................\_primary.vhd
...\...................\...\lpm_fifo_dc_fefifo
...\...................\...\..................\verilog.asm
...\...................\...\..................\_primary.dat
...\...................\...\..................\_primary.vhd
...\...................\...\lpm_inpad
...\...................\...\.........\verilog.asm
...\...................\...\.........\_primary.dat
...\...................\...\.........\_primary.vhd
...\...................\...\lpm_inv
...\...................\...\.......\verilog.asm
...\...................\...\.......\_primary.dat
...\...................\...\.......\_primary.vhd
...\...................\...\lpm_latch
...\...................\...\.........\verilog.asm
...\...................\...\.........\_primary.dat
...\...................\...\.........\_primary.vhd
...\...................\...\lpm_mult
...\...................\...\........\verilog.asm
...\...................\...\........\_primary.dat
...\...................\...\........\_primary.vhd
...\...................\...\lpm_mux
...\...................\...\.......\verilog.asm
...\...................\...\.......\_primary.dat
...\...................\...\.......\_primary.vhd
...\...................\...\lpm_or
...\...................\...\......\verilog.asm
...\...................\...\......\_primary.dat
...\...................\...\......\_primary.vhd
...\...................\...\lpm_outpad
...\...................\...\..........\verilog.asm
...\...................\...\..........\_primary.dat
...\...................\...\..........\_primary.vhd
...\...................\...\lpm_ram_dp
...\...................\...\..........\verilog.asm
...\...................\...\..........\_primary.dat
...\...................\...\..........\_primary.vhd
...\...................\...\lpm_ram_dq
...\...................\...\..........\verilog.asm
...\...................\...\..........\_primary.dat
...\...................\...\..........\_primary.vhd
...\...................\...\lpm_ram_io
...\...................\...\..........\verilog.asm
...\...................\...\..........\_primary.dat
...\...................\...\..........\_primary.vhd
...\...................\...\lpm_rom
...\...................\...\.......\verilog.asm
...\...................\...\.......\_primary.dat
...\...................\...\.......\_primary.vhd
...\...................\...\lpm_shiftreg
...\...................\...\............\verilog.asm
...\...................\...\............\_primary.dat
...\...................\...\............\_primary.vhd
...\...................\...\lpm_xor
...\...................\...\.......\verilog.asm
...\...................\...\.......\_primary.dat
...\...................\...\.......\_primary.vhd
...\...................\...\_info
...\...................\rs_work
...\...................\.......\bench_rs_dec_atl
...\...................\.......\................\verilog.asm
...\...................\.......\................\_primary.dat
...\...................\.......\................\_primary.vhd
...\...................\.......\rsdec
...\...................\.......\.....\verilog.asm
...\...................\.......\.....\_primary.dat
...\...................\.......\.....\_primary.vhd
...\...................\.......\testbench
...\...................\.......\.........\verilog.asm
...\...................\.......\.........\_primary.dat
...\...................\.......\.........\_primary.vhd
...\...................\.......\_info
...\...................\sgate
...\...................\.....\io_buf_opdrn
...\...................\.....\............\verilog.asm
...\...................\.....\............\_primary.dat
...\...................\.....\............\_primary.vhd
...\...................\.....\io_buf_tri
...\...................\.....\..........\verilog.asm
...\...................\.....\..........\_primary.dat
...\...................\.....\..........\_primary.vhd
...\...................\.....\mux21
...\...................\.....\.....\verilog.asm
...\...................\.....\.....\_primary.dat
...\...................\.....\.....\_primary.vhd
...\...................\.....\oper_add
...\...................\.....\........\verilog.asm
...\...................\.....\........\_primary.dat
...\...................\.....\........\_primary.vhd
...\...................\.....\oper_addsub
...\...................\.....\...........\verilog.asm
...\...................\.....\...........\_primary.dat
...\...................\.....\...........\_primary.vhd
...\...................\.....\oper_bus_mux
...\...................\.....\............\verilog.asm
...\...................\.....\............\_primary.dat
...\...................\.....\............\_primary.vhd
...\...................\.....\oper_decoder
...\...................\.....\............\verilog.asm
...\...................\.....\............\_primary.dat
...\...................\.....\............\_primary.vhd
...\...................\.....\oper_div
...\...................\.....\........\verilog.asm
...\...................\.....\........\_primary.dat
...\...................\.....\........\_primary.vhd
...\...................\.....\oper_latch
...\...................\.....\..........\verilog.asm
...\...................\.....\..........\_primary.dat
...\...................\.....\..........\_primary.vhd
...\...................\.....\oper_left_shift
...\...................\.....\...............\verilog.asm
...\...................\.....\...............\_primary.dat
...\...................\.....\...............\_primary.vhd
...\...................\.....\oper_less_than
...\...................\.....\..............\verilog.asm
...\...................\.....\..............\_primary.dat
...\...................\.....\..............\_primary.vhd
...\...................\.....\oper_mod
...\...................\.....\........\verilog.asm
...\...................\.....\........\_primary.dat
...\...................\.....\........\_primary.vhd
...\...................\.....\oper_mult
...\...................\.....\.........\verilog.asm
...\...................\.....\.........\_primary.dat
...\...................\.....\.........\_primary.vhd
...\...................\.....\oper_mux
...\...................\.....\........\verilog.asm
...\...................\.....\........\_primary.dat
...\...................\.....\........\_primary.vhd
...\...................\.....\oper_right_shift
...\...................\.....\................\verilog.asm
...\...................\.....\................\_primary.dat
...\...................\.....\................\_primary.vhd
...\...................\.....\oper_rotate_left
...\...................\.....\................\verilog.asm
...\...................\.....\................\_primary.dat
...\...................\.....\................\_primary.vhd
...\...................\.....\oper_rotate_right
...\...................\.....\.................\verilog.asm
...\...................\.....\.................\_primary.dat
...\...................\.....\.................\_primary.vhd
...\...................\.....\oper_selector
...\...................\.....\.............\verilog.asm
...\...................\.....\.............\_primary.dat
...\...................\.....\.............\_primary.vhd
...\...................\.....\tri_bus
...\...................\.....\.......\verilog.asm
...\...................\.....\.......\_primary.dat
...\...................\.....\.......\_primary.vhd
...\...................\.....\_info
...\...................\block_period_stim.txt
...\...................\inv_4_19.hex
...\...................\inv_4_19.ver
...\...................\modelsim.ini
...\...................\rsdec.html
...\...................\rsdec.qip
...\...................\rsdec.v
...\...................\rsdec.vo
...\...................\rsdec.xml
...\...................\rsdec_bb.v
...\...................\rsdec_gb.v
...\...................\rsdec_nativelink.tcl
...\...................\rsdec_testbench.v
...\...................\rsdec_vsim_script.tcl
...\...................\rs_encoded_data.txt
...\...................\summary_input.txt
...\...................\summary_output.txt
...\...................\vsim.wlf
...\viterbi70
...\.........\lib
...\.........\...\ip_toolbench
...\.........\...\............\viterbi.jar
...\.........\...\.recurs
...\.........\...\auk_vit_add_tre_arc_rtl.vhd
...\.........\...\auk_vit_add_tre_ent.vhd
...\.........\...\auk_vit_hyb_acs_atl_arc_rtl.vhd
...\.........\...\auk_vit_hyb_acs_atl_ent.vhd
...\.........\...\auk_vit_hyb_ber_atl_arc_rtl.vhd
...\.........\...\auk_vit_hyb_ber_atl_ent.vhd
...\.........\...\auk_vit_hyb_bmp_atl_arc_rtl.vhd
...\.........\...\auk_vit_hyb_bmp_atl_ent.vhd
...\.........\...\auk_vit_hyb_met_atl_arc_rtl.vhd
...\.........\...\auk_vit_hyb_met_atl_ent.vhd
...\.........\...\auk_vit_hyb_sur_atl_arc_rtl.vhd
...\.........\...\auk_vit_hyb_sur_atl_ent.vhd
...\.........\...\auk_vit_hyb_top_atl.inc
...\.........\...\auk_vit_hyb_top_atl.ocp
...\.........\...\auk_vit_hyb_top_atl_arc_rtl.vhd
...\.........\...\auk_vit_hyb_top_atl_ent.vhd
...\.........\...\auk_vit_hyb_trb_atl_arc_rtl.vhd
...\.........\...\auk_vit_hyb_trb_atl_ent.vhd
...\.........\...\auk_vit_par_ber_atl_arc_rtl.vhd
...\.........\...\auk_vit_par_ber_atl_ent.vhd
...\.........\...\auk_vit_par_bmp_atl_arc_rtl.vhd
...\.........\...\auk_vit_par_bmp_atl_ent.vhd
...\.........\...\auk_vit_par_top_atl.inc
...\.........\...\auk_vit_par_top_atl.ocp
...\.........\...\auk_vit_par_top_atl_arc_rtl.vhd
...\.........\...\auk_vit_par_top_atl_ent.vhd
...\.........\...\auk_vit_par_trb_atl_arc_mem.vhd
...\.........\...\auk_vit_par_trb_atl_ent.vhd
...\.........\...\auk_vit_sel_arc_rtl.vhd
...\.........\...\auk_vit_sel_ent.vhd
...\.........\...\auk_vit_var_enc_arc_rtl.vhd
...\.........\...\auk_vit_var_enc_ent.vhd
...\.........\...\Bench_vit_hyb_atl_arc_ben.vhd
...\.........\...\Bench_vit_hyb_atl_ent.vhd
...\.........\...\Bench_vit_par_atl_arc_ben.vhd
...\.........\...\Bench_vit_par_atl_ent.vhd
...\.........\...\generic_nativelink.tcl
...\.........\...\generic_vsim_script.tcl
...\.........\...\viterbi_wizard.lst
...\.........\...\vit_hyb_atl_tb_template.vhd
...\.........\...\vit_par_atl_tb_template.vhd
...\.........\...\vi_bench.vhd
...\.........\...\vi_functions.vhd
...\.........\...\vi_functions_nlink.vhd
...\.........\...\vi_interface.vhd
...\.........\...\vi_interface_nlink.vhd
...\.........\...\wizard.xml
...\.........\a_rcvsym.txt
...\.........\a_txsym.txt
...\.........\BER_report.txt
...\.........\block_period_stim.txt
...\.........\tcm_rcv_sector.txt
...\.........\transbit.txt
...\.........\viterbi.bsf
...\.........\viterbi.html
...\.........\viterbi.v
...\.........\viterbi.v.bak
...\.........\viterbi.vo
...\.........\viterbi_bb.v
...\.........\viterbi_nativelink.tcl
...\.........\viterbi_testbench.vhd
...\.........\viterbi_vsim_script.tcl
...\.........\waveperl.log
...\220model.v
...\adder_24.v
...\altera_mf.v
...\c.ver
...\complex.v
...\contents.ver
...\contents_int.ver
...\cyc_rdadd.ver
...\deinterleaver_addressrom.v
...\de_int_add.ver
...\divider_ifft.v
...\fft_RAM.v
...\fft_twd1.v
...\fft_twd2.v
...\fft_twdrom1.ver
...\fft_twdrom2.ver
...\fifo.v
...\fifo_register.v
...\fifo_rsdec.v
...\lpm.v
...\lpm_divide.v
...\my_divider.v
...\PLL.v
...\RAM_64x48.v
...\recout_fifo.v
...\ROM_16x48.v
...\ROM_48_4.v
...\ROM_64x48.v
...\ROM_64x6_cyclicprefix.v
...\ROM_64_6.v
...\rsdec_outfifo.v
...\sgate.v
...\sync_dpram_64x1_rrwrou.v
...\sync_rom_64x6_rrou.v
...\sync_rom_64x6_rrou_inst.v
...\temphex.ver
...\temprom.v
...\trans_out_fifo.v
...\twiddlerom1.ver
...\twiddlerom2.ver