| 다운로드 | 방명록 | 디렉토리
digtak다운로드하드웨어/embeddedVHDL
검색:
sequencecontroller
  • 분류:하드웨어/embedded - VHDL
  • 도구 개발:Others
  • 크기:97.0 KB
  • 업로드 시간:2010/12/28 8:45:32
  • 업 로더:W_it
  • 다운로드 통계:
설명
이것은 시퀀스 컨트롤러 및 RISC cpu에 사용 되는 클록 발생기 verilog 소스 코드(translate from):this is source code in verilog for sequence controller and clock generator which is used in RISC cpu




File list:
lab9
...\.simvision
...\..........\dbrowser-bookmarks
...\..........\schematic-bookmarks
...\..........\source-bookmarks
...\INCA_libs
...\.........\irun.lnx86.08.20.nc
...\.........\...................\temp
...\.........\...................\.ncrun.lock
...\.........\...................\.ncv.lock
...\.........\...................\bind.lst.lnx86
...\.........\...................\cds.lib
...\.........\...................\cdsrun.lib
...\.........\...................\files.ts
...\.........\...................\hdl.var
...\.........\...................\hdlrun.var
...\.........\...................\ncelab.args
...\.........\...................\ncelab.env
...\.........\...................\ncelab.hrd
...\.........\...................\ncsim.args
...\.........\...................\ncsim.env
...\.........\...................\ncsim_restart.args
...\.........\...................\ncsim_restart.env
...\.........\...................\ncverilog.args
...\.........\...................\ncvlog.args
...\.........\...................\ncvlog.env
...\.........\...................\ncvlog.files
...\.........\snap.nc
...\.........\.......\temp
...\.........\.......\.ncrun.lock
...\.........\.......\.ncv.lock
...\.........\.......\bind.lst.lnx86
...\.........\.......\cds.lib
...\.........\.......\cdsrun.lib
...\.........\.......\files.ts
...\.........\.......\hdl.var
...\.........\.......\hdlrun.var
...\.........\.......\ncelab.args
...\.........\.......\ncelab.env
...\.........\.......\ncelab.hrd
...\.........\.......\ncsim.args
...\.........\.......\ncsim.env
...\.........\.......\ncsim_restart.args
...\.........\.......\ncsim_restart.env
...\.........\.......\ncverilog.args
...\.........\.......\ncvlog.args
...\.........\.......\ncvlog.env
...\.........\.......\ncvlog.files
...\.........\worklib
...\.........\.......\.cdsvmod
...\.........\.......\.inca.db.169.lnx86
...\.........\.......\cdsinfo.tag
...\.........\.......\inca.lnx86.169.pak
...\waves.shm
...\.........\waves-1.trn
...\.........\waves.dsn
...\.........\waves.trn
...\aasd.v
...\aasd.v~
...\clk_gen.v
...\clk_gen.v~
...\control.v
...\control.v~
...\cpu.v
...\cpu.v~
...\ncverilog.key
...\ncverilog.log
...\tb_clk_gen.v
...\tb_clk_gen.v~
...\tb_control.v
...\tb_control.v~
...\top_module.v
...\top_module.v~
...\xyz.v
...\xyz.v~
이것은 높은 품질의 소스 코드입니다,당신이 회원 인 경우, 로그인하십시오. 당신은 회원이 아닌 경우, 등록하시기 바랍니다 .
관련 소스코드
[UseCase--Sequence-a-Activity-Diagram...] - 활동 daigrams
[Sequence-Detector-State-Machine] - 상태 시스템 검출기 디자인 시퀀스, 절차,이 프로그램은 탐지 1101
[m sequence] - 난 시퀀스의 MATLAB 시뮬레이션을 사용하여 직접 실행할 수있습니다
[mgsequencegeneraaate] - 이 기능에 따라 입력과 선호가 될 것입 마일 시퀀스과 금색의 해당 순서의 출력
[SimulationofDirectSequenceSpreadSpec...] - 직접 시퀀스 확산 스펙트럼 통신 시스템의 MATLAB 시뮬레이션을 기반으로
[Remote network source network monito...] - 원격 네트워크에서 Visual C + +를 사용하여 프로그램의 소스 코드를 모니터링 완전한 소스 코드를 개발합니다.
[Backgammon chess (Five) V1.0 source ...] - 이 소스 코드는 프로 시저를 테스트중인 좋은 소스, VB6.0을, 완전한 소스 코드를 사용하시기 바랍니다.
[Time series analysis VCC source sequ...] - 타임 시리즈 분석, 분석의 순서를 추가 여부와 상관 autocorrelation의 순서를 결정하는 백색 소음, 관련순의 분석이다
[SCM machine program sequence] - SJA1000 드라이버 드라이버 소스 코드
[Sequence of four-screen screen saver...] - 이 프로세스는 4 개의 화면 보호기 소스 코드를 실행할 때 소스 코드를 포함하고이 절차를 한 곳에서 할 때 공이 충격의 위치에 마우스를 이동하기 시작 지점에서 다른 색깔 왼쪽 어느 시점에 마우스와 함께 화면의 가장자리의 움직임과 공을 때마다 그 색깔이 정확히 동일하지 않습니다 움직이고있다. 소스 코드의 무결성, 그리고 직접적으로 사용될 수있습니다.
다운로드 주소
download DownLoad
Comments: 다운로드 후 값매기다를 잊지 마세요! Comment...
About - Advertise - Sitemap