이것은 시퀀스 컨트롤러 및 RISC cpu에 사용 되는 클록 발생기 verilog 소스 코드(translate from):this is source code in verilog for sequence controller and clock generator which is used in RISC cpu
File list:
lab9
...\.simvision
...\..........\dbrowser-bookmarks
...\..........\schematic-bookmarks
...\..........\source-bookmarks
...\INCA_libs
...\.........\irun.lnx86.08.20.nc
...\.........\...................\temp
...\.........\...................\.ncrun.lock
...\.........\...................\.ncv.lock
...\.........\...................\bind.lst.lnx86
...\.........\...................\cds.lib
...\.........\...................\cdsrun.lib
...\.........\...................\files.ts
...\.........\...................\hdl.var
...\.........\...................\hdlrun.var
...\.........\...................\ncelab.args
...\.........\...................\ncelab.env
...\.........\...................\ncelab.hrd
...\.........\...................\ncsim.args
...\.........\...................\ncsim.env
...\.........\...................\ncsim_restart.args
...\.........\...................\ncsim_restart.env
...\.........\...................\ncverilog.args
...\.........\...................\ncvlog.args
...\.........\...................\ncvlog.env
...\.........\...................\ncvlog.files
...\.........\snap.nc
...\.........\.......\temp
...\.........\.......\.ncrun.lock
...\.........\.......\.ncv.lock
...\.........\.......\bind.lst.lnx86
...\.........\.......\cds.lib
...\.........\.......\cdsrun.lib
...\.........\.......\files.ts
...\.........\.......\hdl.var
...\.........\.......\hdlrun.var
...\.........\.......\ncelab.args
...\.........\.......\ncelab.env
...\.........\.......\ncelab.hrd
...\.........\.......\ncsim.args
...\.........\.......\ncsim.env
...\.........\.......\ncsim_restart.args
...\.........\.......\ncsim_restart.env
...\.........\.......\ncverilog.args
...\.........\.......\ncvlog.args
...\.........\.......\ncvlog.env
...\.........\.......\ncvlog.files
...\.........\worklib
...\.........\.......\.cdsvmod
...\.........\.......\.inca.db.169.lnx86
...\.........\.......\cdsinfo.tag
...\.........\.......\inca.lnx86.169.pak
...\waves.shm
...\.........\waves-1.trn
...\.........\waves.dsn
...\.........\waves.trn
...\aasd.v
...\aasd.v~
...\clk_gen.v
...\clk_gen.v~
...\control.v
...\control.v~
...\cpu.v
...\cpu.v~
...\ncverilog.key
...\ncverilog.log
...\tb_clk_gen.v
...\tb_clk_gen.v~
...\tb_control.v
...\tb_control.v~
...\top_module.v
...\top_module.v~
...\xyz.v
...\xyz.v~